Part Number Hot Search : 
IPB180N R1767 4100M 402CX5 MB89P AD9281 2N2405 HZ36NBSP
Product Description
Full Text Search
 

To Download EPF10K30EFC484-3DX Datasheet File

  If you can't view the Datasheet, Please click here to try to view without PDF Reader .  
 
 


  Datasheet File OCR Text:
 FLEX 10KE
(R)
Embedded Programmable Logic Family
Data Sheet
September 2000, ver. 2.10
Features...
s
s
s
Embedded programmable logic devices (PLDs), providing system-on-a-programmable-chip integration in a single device - Enhanced embedded array for implementing megafunctions such as efficient memory and specialized logic functions - Dual-port capability with up to 16-bit width per embedded array block (EAB) - Logic array for general logic functions High density - 30,000 to 200,000 typical gates (see Tables 1 and 2) - Up to 98,304 RAM bits (4,096 bits per EAB), all of which can be used without reducing logic capacity System-level features - MultiVoltTM I/O pins can drive or be driven by 2.5-V, 3.3-V, or 5.0-V devices - Low power consumption - Bidirectional I/O performance (tSU and tCO) up to 212 MHz - Fully compliant with the PCI Special Interest Group (PCI SIG) PCI Local Bus Specification, Revision 2.2 for 3.3-V operation at 33 MHz or 66 MHz - -1 speed grade devices are compliant with PCI Local Bus Specification, Revision 2.2, for 5.0-V operation - Built-in Joint Test Action Group (JTAG) boundary-scan test (BST) circuitry compliant with IEEE Std. 1149.1-1990, available without consuming additional device logic
f
For information on 5.0-V FLEX(R) 10K or 3.3-V FLEX 10KA devices, see the FLEX 10K Embedded Programmable Logic Family Data Sheet.
Table 1. FLEX 10KE Device Features Feature
Typical gates (1) Maximum system gates Logic elements (LEs) EABs Total RAM bits Maximum user I/O pins
EPF10K30E
30,000 119,000 1,728 6 24,576 220
EPF10K50E EPF10K50S
50,000 199,000 2,880 10 40,960 254
EPF10K100B
100,000 158,000 4,992 12 24,576 191
Altera Corporation
A-DS-F10KE-02.10
1
FLEX 10KE Embedded Programmable Logic Family Data Sheet
Table 2. FLEX 10KE Device Features Feature
Typical gates (1) Maximum system gates Logic elements (LEs) EABs Total RAM bits Maximum user I/O pins Note to tables:
(1) The embedded IEEE Std. 1149.1 JTAG circuitry adds up to 31,250 gates in addition to the listed typical or maximum system gates.
EPF10K100E
100,000 257,000 4,992 12 49,152 338
EPF10K130E
130,000 342,000 6,656 16 65,536 413
EPF10K200E EPF10K200S
200,000 513,000 9,984 24 98,304 470
...and More Features
s
s
Fabricated on an advanced process and operate with a 2.5-V internal supply voltage - In-circuit reconfigurability (ICR) via external configuration devices, intelligent controller, or JTAG port - ClockLockTM and ClockBoostTM options for reduced clock delay/skew and clock multiplication - Built-in low-skew clock distribution trees - 100% functional testing of all devices; test vectors or scan chains are not required - Pull-up on I/O pins before and during configuration Flexible interconnect - FastTrack(R) Interconnect continuous routing structure for fast, predictable interconnect delays - Dedicated carry chain that implements arithmetic functions such as fast adders, counters, and comparators (automatically used by software tools and megafunctions) - Dedicated cascade chain that implements high-speed, high-fan-in logic functions (automatically used by software tools and megafunctions) - Tri-state emulation that implements internal tri-state buses - Up to six global clock signals and four global clear signals Powerful I/O pins - Individual tri-state output enable control for each pin - Open-drain option on each I/O pin - Programmable output slew-rate control to reduce switching noise - Clamp to VCCIO user-selectable on a pin-by-pin basis - Supports hot-socketing
-
2
Altera Corporation
FLEX 10KE Embedded Programmable Logic Family Data Sheet s
s
s
Software design support and automatic place-and-route provided by Altera's MAX+PLUS(R) II development system for Windows-based PCs and Sun SPARCstation, HP 9000 Series 700/800, and IBM RISC System/6000 workstations Flexible package options - Available in a variety of packages with 144 to 672 pins, including the innovative FineLine BGATM packages (see Tables 3 and 4) - SameFrameTM pin-out compatibility with FLEX 10KA and FLEX 10KE devices across a range of device densities and pin counts Additional design entry and simulation support provided by EDIF 2 0 0 and 3 0 0 netlist files, library of parameterized modules (LPM), DesignWare components, Verilog HDL, VHDL, and other interfaces to popular EDA tools from manufacturers such as Cadence, Exemplar Logic, Mentor Graphics, OrCAD, Synopsys, Synplicity, VeriBest, and Viewlogic Notes (1), (2)
Table 3. FLEX 10KE Package Options & I/O Pin Count Device 144-Pin 208-Pin TQFP PQFP
102 102 102 147 147 147 147 147 189 189 189 189 186 182
240-Pin PQFP RQFP
256-Pin 356-Pin 484-Pin 599-Pin 600-Pin 672-Pin FineLine BGA FineLine BGA BGA FineLine BGA BGA BGA
176 191 191 191 191 274 274 274 338 369 470 369 470 424 470 470 338 (3) 413 470 470 220 220 220 254 254 220 (3) 254 (3) 254 (3)
EPF10K30E EPF10K50E EPF10K50S EPF10K100B EPF10K100E EPF10K130E EPF10K200E EPF10K200S Notes:
(1) (2)
(3)
FLEX 10KE device package types include thin quad flat pack (TQFP), plastic quad flat pack (PQFP), power quad flat pack (RQFP), pin-grid array (PGA), and ball-grid array (BGA) packages. Devices in the same package are pin-compatible, although some devices have more I/O pins than others. When planning device migration, use the I/O pins that are common to all devices. The MAX+PLUS II software versions 9.1 and higher provide features to help designers use only the common pins. This option is supported with a 484-pin FineLine BGA package. By using SameFrame pin migration, all FineLine BGA packages are pin-compatible. For example, a board can be designed to support 256-pin, 484-pin, and 672-pin FineLine BGA packages. The MAX+PLUS II software automatically avoids conflicting pins when future migration is set.
Altera Corporation
3
FLEX 10KE Embedded Programmable Logic Family Data Sheet
Table 4. FLEX 10KE Package Sizes Device 144Pin TQFP
0.50 484
208-Pin PQFP
0.50 936
240-Pin PQFP RQFP
0.50 1,197
256-Pin FineLine BGA
1.0 289
356Pin BGA
1.27 1,225
484-Pin FineLine BGA
1.0 529
599-Pin PGA
- 3,904
600Pin BGA
1.27 2,025
672-Pin FineLine BGA
1.0 729
Pitch (mm) Area (mm )
2
Length x width 22 x 22 30.6 x 30.6 34.6 x 34.6 17 x 17 35 x 35 23 x 23 62.5 x 62.5 45 x 45 27 x 27 (mm x mm)
General Description
Altera FLEX 10KE devices are enhanced versions of FLEX 10K devices. Based on reconfigurable CMOS SRAM elements, the FLEX architecture incorporates all features necessary to implement common gate array megafunctions. With up to 200,000 typical gates, FLEX 10KE devices provide the density, speed, and features to integrate entire systems, including multiple 32-bit buses, into a single device. The ability to reconfigure FLEX 10KE devices enables 100% testing prior to shipment and allows the designer to focus on simulation and design verification. FLEX 10KE reconfigurability eliminates inventory management for gate array designs and generation of test vectors for fault coverage. Table 5 shows FLEX 10KE performance for some common designs. All performance values were obtained with Synopsys DesignWare or LPM functions. Special design techniques are not required to implement the applications; the designer simply infers or instantiates a function in a Verilog HDL, VHDL, Altera Hardware Description Language (AHDL), or schematic design file.
4
Altera Corporation
FLEX 10KE Embedded Programmable Logic Family Data Sheet
Table 5. FLEX 10KE Performance Application Resources Used LEs EABs -1
16-bit loadable counter 16-bit accumulator 16-to-1 multiplexer (1) 16-bit multiplier with 3-stage pipeline (2) 256 x 16 RAM read cycle speed (2) 256 x 16 RAM write cycle speed (2) Notes:
(1) (2) This application uses combinatorial inputs and outputs. This application uses registered inputs and outputs.
Performance Speed Grade -2
250 250 4.9 131 154 143
Units
-3
200 200 7.0 93 118 106 MHz MHz ns MHz MHz MHz
16 16 10 592 0 0
0 0 0 0 1 1
285 285 3.5 156 196 185
Table 6 shows FLEX 10KE performance for more complex designs. These designs are available as Altera MegaCore(R) functions. Table 6. FLEX 10KE Performance for Complex Designs Application LEs Used Performance Speed Grade -1
8-bit, 16-tap parallel finite impulse response (FIR) filter 8-bit, 512-point fast Fourier transform (FFT) function a16450 universal asynchronous receiver/transmitter (UART) Note:
(1) These values are for calculation time. Calculation time = number of clocks required/fmax. Number of clocks required = ceiling [log 2 (points)/2] x [points +14 + ceiling]
Units
-2
156 28.7 92 28
-3
116 38.9 68 20.5 MSPS s (1) MHz MHz
597 1,854 342
192 23.4 113 36
Altera Corporation
5
FLEX 10KE Embedded Programmable Logic Family Data Sheet
Similar to the FLEX 10KE architecture, embedded gate arrays are the fastest-growing segment of the gate array market. As with standard gate arrays, embedded gate arrays implement general logic in a conventional "sea-of-gates" architecture. Additionally, embedded gate arrays have dedicated die areas for implementing large, specialized functions. By embedding functions in silicon, embedded gate arrays reduce die area and increase speed when compared to standard gate arrays. While embedded megafunctions typically cannot be customized, FLEX 10KE devices are programmable, providing the designer with full control over embedded megafunctions and general logic, while facilitating iterative design changes during debugging. Each FLEX 10KE device contains an embedded array and a logic array. The embedded array is used to implement a variety of memory functions or complex logic functions, such as digital signal processing (DSP), wide data-path manipulation, microcontroller applications, and datatransformation functions. The logic array performs the same function as the sea-of-gates in the gate array and is used to implement general logic such as counters, adders, state machines, and multiplexers. The combination of embedded and logic arrays provides the high performance and high density of embedded gate arrays, enabling designers to implement an entire system on a single device. FLEX 10KE devices are configured at system power-up with data stored in an Altera serial configuration device or provided by a system controller. Altera offers the EPC1, EPC2, and EPC1441 configuration devices, which configure FLEX 10KE devices via a serial data stream. Configuration data can also be downloaded from system RAM or via the Altera BitBlasterTM, ByteBlasterTM, or ByteBlasterMVTM download cables. (The ByteBlaster cable is obsolete and replaced by the ByteBlasterMV cable, which can program and configure 2.5-V, 3.3-V, and 5.0-V devices.) After a FLEX 10KE device has been configured, it can be reconfigured in-circuit by resetting the device and loading new data. Because reconfiguration requires less than 85 ms, real-time changes can be made during system operation. FLEX 10KE devices contain an interface that permits microprocessors to configure FLEX 10KE devices serially or in-parallel, and synchronously or asynchronously. The interface also enables microprocessors to treat a FLEX 10KE device as memory and configure it by writing to a virtual memory location, making it easy to reconfigure the device.
6
Altera Corporation
FLEX 10KE Embedded Programmable Logic Family Data Sheet
f
For more information on FLEX device configuration, see the following documents:
s s s s s
Configuration Devices for APEX & FLEX Devices Data Sheet BitBlaster Serial Download Cable Data Sheet ByteBlaster Parallel Port Download Cable Data Sheet ByteBlasterMV Parallel Port Download Cable Data Sheet Application Note 116 (Configuring APEX 20K,FLEX 10K, and FLEX 6000 Devices)
FLEX 10KE devices are supported by the MAX+PLUS II development system, which is an integrated package that offers schematic, text (including AHDL), and waveform design entry, compilation and logic synthesis, full simulation and worst-case timing analysis, and device configuration. The MAX+PLUS II software provides EDIF 2 0 0 and 3 0 0, LPM, VHDL, Verilog HDL, and other interfaces for additional design entry and simulation support from other industry-standard PC- and UNIX workstation-based EDA tools. The MAX+PLUS II software works easily with common gate array EDA tools for synthesis and simulation. For example, the MAX+PLUS II software can generate Verilog HDL files for simulation with tools such as Cadence Verilog-XL. Additionally, the MAX+PLUS II software contains EDA libraries that use device-specific features such as carry chains, which are used for fast counter and arithmetic functions. For instance, the Synopsys Design Compiler library supplied with the MAX+PLUS II development system includes DesignWare functions that are optimized for the FLEX 10KE architecture. The MAX+PLUS II development system runs on Windows-based PCs and Sun SPARCstation, and HP 9000 Series 700/800, and IBM RISC System/6000 workstations.
f
See the MAX+PLUS II Programmable Logic Development System & Software Data Sheet for more information.
Altera Corporation
7
FLEX 10KE Embedded Programmable Logic Family Data Sheet
Functional Description
Each FLEX 10KE device contains an enhanced embedded array to implement memory and specialized logic functions, and a logic array to implement general logic. The embedded array consists of a series of EABs. When implementing memory functions, each EAB provides 4,096 bits, which can be used to create RAM, ROM, dual-port RAM, or first-in first-out (FIFO) functions. When implementing logic, each EAB can contribute 100 to 600 gates towards complex logic functions, such as multipliers, microcontrollers, state machines, and DSP functions. EABs can be used independently, or multiple EABs can be combined to implement larger functions. The logic array consists of logic array blocks (LABs). Each LAB contains eight LEs and a local interconnect. An LE consists of a 4-input look-up table (LUT), a programmable flipflop, and dedicated signal paths for carry and cascade functions. The eight LEs can be used to create medium-sized blocks of logic--such as 8-bit counters, address decoders, or state machines--or combined across LABs to create larger logic blocks. Each LAB represents about 96 usable gates of logic. Signal interconnections within FLEX 10KE devices (as well as to and from device pins) are provided by the FastTrack Interconnect routing structure, which is a series of fast, continuous row and column channels that run the entire length and width of the device. Each I/O pin is fed by an I/O element (IOE) located at the end of each row and column of the FastTrack Interconnect routing structure. Each IOE contains a bidirectional I/O buffer and a flipflop that can be used as either an output or input register to feed input, output, or bidirectional signals. When used with a dedicated clock pin, these registers provide exceptional performance. As inputs, they provide setup times as low as 0.9 ns and hold times of 0 ns. As outputs, these registers provide clock-to-output times as low as 3.6 ns. IOEs provide a variety of features, such as JTAG BST support, slew-rate control, tri-state buffers, and open-drain outputs.
8
Altera Corporation
FLEX 10KE Embedded Programmable Logic Family Data Sheet
Figure 1 shows a block diagram of the FLEX 10KE architecture. Each group of LEs is combined into an LAB; groups of LABs are arranged into rows and columns. Each row also contains a single EAB. The LABs and EABs are interconnected by the FastTrack Interconnect routing structure. IOEs are located at the end of each row and column of the FastTrack Interconnect routing structure. Figure 1. FLEX 10KE Device Block Diagram
Embedded Array Block (EAB) I/O Element (IOE)
IOE IOE IOE IOE IOE IOE IOE IOE IOE IOE
IOE
IOE
IOE
IOE
Column Interconnect
Logic Array
EAB
Logic Array Block (LAB)
IOE
IOE
IOE
IOE
Logic Element (LE) Row Interconnect
EAB
Local Interconnect Logic Array
IOE
IOE
IOE
IOE
IOE
IOE
IOE
IOE
IOE
IOE
Embedded Array
FLEX 10KE devices provide six dedicated inputs that drive the flipflops' control inputs and ensure the efficient distribution of high-speed, lowskew (less than 1.5 ns) control signals. These signals use dedicated routing channels that provide shorter delays and lower skews than the FastTrack Interconnect routing structure. Four of the dedicated inputs drive four global signals. These four global signals can also be driven by internal logic, providing an ideal solution for a clock divider or an internally generated asynchronous clear signal that clears many registers in the device.
Altera Corporation
9
FLEX 10KE Embedded Programmable Logic Family Data Sheet
Embedded Array Block
The EAB is a flexible block of RAM, with registers on the input and output ports, that is used to implement common gate array megafunctions. Because it is large and flexible, the EAB is suitable for functions such as multipliers, vector scalars, and error correction circuits. These functions can be combined in applications such as digital filters and microcontrollers. Logic functions are implemented by programming the EAB with a readonly pattern during configuration, thereby creating a large LUT. With LUTs, combinatorial functions are implemented by looking up the results, rather than by computing them. This implementation of combinatorial functions can be faster than using algorithms implemented in general logic, a performance advantage that is further enhanced by the fast access times of EABs. The large capacity of EABs enables designers to implement complex functions in one logic level without the routing delays associated with linked LEs or field-programmable gate array (FPGA) RAM blocks. For example, a single EAB can implement any function with 8 inputs and 16 outputs. Parameterized functions such as LPM functions can take advantage of the EAB automatically. The FLEX 10KE EAB provides advantages over FPGAs, which implement on-board RAM as arrays of small, distributed RAM blocks. These small FPGA RAM blocks must be connected together to make RAM blocks of manageable size. The RAM blocks are connected together using multiplexers implemented with more logic blocks. These extra multiplexers cause extra delay, which slows down the RAM block. FPGA RAM blocks are also prone to routing problems because small blocks of RAM must be connected together to make larger blocks. In contrast, EABs can be used to implement large, dedicated blocks of RAM that eliminate these timing and routing concerns. The FLEX 10KE enhanced EAB adds dual-port capability to the existing EAB structure. The dual-port structure is ideal for FIFO buffers with one or two clocks. The FLEX 10KE EAB can also support up to 16-bit-wide RAM blocks and is backward-compatible with any design containing FLEX 10K EABs. The FLEX 10KE EAB can act in dual-port or single-port mode. When in dual-port mode, separate clocks may be used for EAB read and write sections, which allows the EAB to be written and read at different rates. It also has separate synchronous clock enable signals for the EAB read and write sections, which allow independent control of these sections.
10
Altera Corporation
FLEX 10KE Embedded Programmable Logic Family Data Sheet
The EAB can also be used for bidirectional, dual-port memory applications where two ports read or write simultaneously. To implement this type of dual-port memory, two EABs are used to support two simultaneous read or writes. Alternatively, one clock and clock enable can be used to control the input registers of the EAB, while a different clock and clock enable control the output registers (see Figure 2). Figure 2. FLEX 10KE Device in Dual-Port RAM Mode
Dedicated Inputs & Global Signals Dedicated Clocks
Notes (1), (2)
Row Interconnect
2
4
data[ ]
D ENA Q
RAM/ROM 256 x 16 512 x 8 Data In 1,024 x 4 2,048 x 2
Data Out
D ENA Q
4, 8, 16, 32
4, 8
rdaddress[ ] EAB Local Interconnect (3) wraddress[ ]
D Q
Read Address
D ENA Q
Write Address
ENA
rden wren outclocken
4, 8, 16, 32
Read Enable
D ENA Q
Write Enable
inclocken
D ENA Q
inclock outclock
Write Pulse Generator
Multiplexers allow read address and read enable registers to be clocked by inclock or outclock signals. Column Interconnect
Notes:
(1) (2) (3) All registers can be asynchronously cleared by EAB local interconnect signals, global signals, or the chip-wide reset. The EPF10K100B device does not offer dual-port RAM mode. EPF10K30E and EPF10K50E devices have 88 EAB local interconnect channels; EPF10K100E, EPF10K130E, and EPF10K200E devices have 104 EAB local interconnect channels.
Altera Corporation
11
FLEX 10KE Embedded Programmable Logic Family Data Sheet
The EAB can also use Altera megafunctions to implement dual-port RAM applications where both ports can read or write, as shown in Figure 3. Figure 3. FLEX 10KE EAB in Dual-Port RAM Mode
Port A address_a[] data_a[] we_a clkena_a Clock A Port B address_b[] data_b[] we_b clkena_b Clock B
The FLEX 10KE EAB can be used in a single-port mode, which is useful for backward-compatibility with FLEX 10K designs (see Figure 4).
12
Altera Corporation
FLEX 10KE Embedded Programmable Logic Family Data Sheet
Figure 4. FLEX 10KE Device in Single-Port RAM Mode
Dedicated Clocks Dedicated Inputs & Global Signals Chip-Wide Reset
Row Interconnect
2
4
D
Q
8, 4, 2, 1
RAM/ROM 256 x 16 512 x 8 Data In 1,024 x 4 2,048 x 2
Data Out
D Q
4, 8, 16, 32
4, 8
EAB Local Interconnect (1)
D Q
Address 8, 9, 10, 11 4, 8, 16, 32
Write Enable
D Q
Column Interconnect
Note:
(1) EPF10K30E, EPF10K50E, and EPF10K50S devices have 88 EAB local interconnect channels; EPF10K100E, EPF10K100B, EPF10K130E, EPF10K200E, and EPF10K200S devices have 104 EAB local interconnect channels.
EABs can be used to implement synchronous RAM, which is easier to use than asynchronous RAM. A circuit using asynchronous RAM must generate the RAM write enable signal, while ensuring that its data and address signals meet setup and hold time specifications relative to the write enable signal. In contrast, the EAB's synchronous RAM generates its own write enable signal and is self-timed with respect to the input or write clock. A circuit using the EAB's self-timed RAM must only meet the setup and hold time specifications of the global clock.
Altera Corporation
13
FLEX 10KE Embedded Programmable Logic Family Data Sheet
When used as RAM, each EAB can be configured in any of the following sizes: 256 x 16, 512 x 8, 1,024 x 4, or 2,048 x 2 (see Figure 5). Figure 5. FLEX 10KE EAB Memory Configurations
256 x 16
512 x 8
1,024 x 4
2,048 x 2
Larger blocks of RAM are created by combining multiple EABs. For example, two 256 x 16 RAM blocks can be combined to form a 256 x 32 block; two 512 x 8 RAM blocks can be combined to form a 512 x 16 block (see Figure 6). Figure 6. Examples of Combining FLEX 10KE EABs
256 x 32 256 x 16 512 x 8 512 x 16
256 x 16 512 x 8
If necessary, all EABs in a device can be cascaded to form a single RAM block. EABs can be cascaded to form RAM blocks of up to 2,048 words without impacting timing. The MAX+PLUS II software automatically combines EABs to meet a designer's RAM specifications.
14
Altera Corporation
FLEX 10KE Embedded Programmable Logic Family Data Sheet
EABs provide flexible options for driving and controlling clock signals. Different clocks and clock enables can be used for reading and writing to the EAB. Registers can be independently inserted on the data input, EAB output, write address, write enable signals, read address, and read enable signals. The global signals and the EAB local interconnect can drive write enable, read enable, and clock enable signals. The global signals, dedicated clock pins, and EAB local interconnect can drive the EAB clock signals. Because the LEs drive the EAB local interconnect, the LEs can control write enable, read enable, clear, clock, and clock enable signals. An EAB is fed by a row interconnect and can drive out to row and column interconnects. Each EAB output can drive up to two row channels and up to two column channels; the unused row channel can be driven by other LEs. This feature increases the routing resources available for EAB outputs (see Figures 2 and 4). The column interconnect, which is adjacent to the EAB, has twice as many channels as other columns in the device.
Logic Array Block
An LAB consists of eight LEs, their associated carry and cascade chains, LAB control signals, and the LAB local interconnect. The LAB provides the coarse-grained structure to the FLEX 10KE architecture, facilitating efficient routing with optimum device utilization and high performance (see Figure 7).
Altera Corporation
15
FLEX 10KE Embedded Programmable Logic Family Data Sheet
Figure 7. FLEX 10KE LAB
Dedicated Inputs & Global Signals
Row Interconnect
(1) LAB Local Interconnect (2)
4
6 16 Carry-In & Cascade-In 2 LE1 LE2 LE3 8 4 4 4 4 4 LE4 LE5 LE6 LE7 LE8 16 6
(3)
See Figure 12 for details.
LAB Control Signals
4 4 4
8
24 to 48
4
Column-to-Row Interconnect
Column Interconnect
8
2
Carry-Out & Cascade-Out
Notes:
(1) (2) (3) EPF10K30E, EPF10K50E, and EPF10K50S devices have 22 inputs to the LAB local interconnect channel from the row; EPF10K100E, EPF10K100B, EPF10K130E, EPF10K200E, and EPF10K200S devices have 26. EPF10K30E, EPF10K50E, and EPF10K50S devices have 30 LAB local interconnect channels; EPF10K100E, EPF10K100B, EPF10K130E, EPF10K200E, and EPF10K200S devices have 34. In EPF10K100B devices, four row channels can drive column channels at each intersection.
16
Altera Corporation
FLEX 10KE Embedded Programmable Logic Family Data Sheet
Each LAB provides four control signals with programmable inversion that can be used in all eight LEs. Two of these signals can be used as clocks, the other two can be used for clear/preset control. The LAB clocks can be driven by the dedicated clock input pins, global signals, I/O signals, or internal signals via the LAB local interconnect. The LAB preset and clear control signals can be driven by the global signals, I/O signals, or internal signals via the LAB local interconnect. The global control signals are typically used for global clock, clear, or preset signals because they provide asynchronous control with very low skew across the device. If logic is required on a control signal, it can be generated in one or more LE in any LAB and driven into the local interconnect of the target LAB. In addition, the global control signals can be generated from LE outputs.
Logic Element
The LE, the smallest unit of logic in the FLEX 10KE architecture, has a compact size that provides efficient logic utilization. Each LE contains a 4-input LUT, which is a function generator that can quickly compute any function of four variables. In addition, each LE contains a programmable flipflop with a synchronous clock enable, a carry chain, and a cascade chain. Each LE drives both the local and the FastTrack Interconnect routing structure (see Figure 8). Figure 8. FLEX 10KE Logic Element
Carry-In Cascade-In
Register Bypass
Programmable Register
data1 data2 data3 data4
Look-Up Table (LUT)
Carry Chain
Cascade Chain
D
PRN Q
FastTrack Interconnect
ENA CLRN LAB Local Interconnect
labctrl1 labctrl2
Chip-Wide Reset
Clear/ Preset Logic
Clock Select
labctrl3 labctrl4
Carry-Out Cascade-Out
Altera Corporation
17
FLEX 10KE Embedded Programmable Logic Family Data Sheet
The programmable flipflop in the LE can be configured for D, T, JK, or SR operation. The clock, clear, and preset control signals on the flipflop can be driven by global signals, general-purpose I/O pins, or any internal logic. For combinatorial functions, the flipflop is bypassed and the output of the LUT drives the output of the LE. The LE has two outputs that drive the interconnect: one drives the local interconnect and the other drives either the row or column FastTrack Interconnect routing structure. The two outputs can be controlled independently. For example, the LUT can drive one output while the register drives the other output. This feature, called register packing, can improve LE utilization because the register and the LUT can be used for unrelated functions. The FLEX 10KE architecture provides two types of dedicated high-speed data paths that connect adjacent LEs without using local interconnect paths: carry chains and cascade chains. The carry chain supports high-speed counters and adders and the cascade chain implements wide-input functions with minimum delay. Carry and cascade chains connect all LEs in a LAB as well as all LABs in the same row. Intensive use of carry and cascade chains can reduce routing flexibility. Therefore, the use of these chains should be limited to speed-critical portions of a design.
Carry Chain
The carry chain provides a very fast (as low as 0.2 ns) carry-forward function between LEs. The carry-in signal from a lower-order bit drives forward into the higher-order bit via the carry chain, and feeds into both the LUT and the next portion of the carry chain. This feature allows the FLEX 10KE architecture to implement high-speed counters, adders, and comparators of arbitrary width efficiently. Carry chain logic can be created automatically by the MAX+PLUS II Compiler during design processing, or manually by the designer during design entry. Parameterized functions such as LPM and DesignWare functions automatically take advantage of carry chains. Carry chains longer than eight LEs are automatically implemented by linking LABs together. For enhanced fitting, a long carry chain skips alternate LABs in a row. A carry chain longer than one LAB skips either from even-numbered LAB to even-numbered LAB, or from oddnumbered LAB to odd-numbered LAB. For example, the last LE of the first LAB in a row carries to the first LE of the third LAB in the row. The carry chain does not cross the EAB at the middle of the row. For instance, in the EPF10K50E device, the carry chain stops at the eighteenth LAB and a new one begins at the nineteenth LAB.
18
Altera Corporation
FLEX 10KE Embedded Programmable Logic Family Data Sheet
Figure 9 shows how an n-bit full adder can be implemented in n + 1 LEs with the carry chain. One portion of the LUT generates the sum of two bits using the input signals and the carry-in signal; the sum is routed to the output of the LE. The register can be bypassed for simple adders or used for an accumulator function. Another portion of the LUT and the carry chain logic generates the carry-out signal, which is routed directly to the carry-in signal of the next-higher-order bit. The final carry-out signal is routed to an LE, where it can be used as a general-purpose signal. Figure 9. FLEX 10KE Carry Chain Operation (n-Bit Full Adder)
Carry-In
a1 b1
LUT
Register
s1
Carry Chain LE1
a2 b2
LUT
Register
s2
Carry Chain LE2
an bn
LUT
Register
sn
Carry Chain LEn
LUT
Register
Carry-Out
Carry Chain LEn + 1
Altera Corporation
19
FLEX 10KE Embedded Programmable Logic Family Data Sheet
Cascade Chain
With the cascade chain, the FLEX 10KE architecture can implement functions that have a very wide fan-in. Adjacent LUTs can be used to compute portions of the function in parallel; the cascade chain serially connects the intermediate values. The cascade chain can use a logical AND or logical OR (via De Morgan's inversion) to connect the outputs of adjacent LEs. An a delay as low as 0.6 ns per LE, each additional LE provides four more inputs to the effective width of a function. Cascade chain logic can be created automatically by the MAX+PLUS II Compiler during design processing, or manually by the designer during design entry. Cascade chains longer than eight bits are implemented automatically by linking several LABs together. For easier routing, a long cascade chain skips every other LAB in a row. A cascade chain longer than one LAB skips either from even-numbered LAB to even-numbered LAB, or from odd-numbered LAB to odd-numbered LAB (e.g., the last LE of the first LAB in a row cascades to the first LE of the third LAB). The cascade chain does not cross the center of the row (e.g., in the EPF10K50E device, the cascade chain stops at the eighteenth LAB and a new one begins at the nineteenth LAB). This break is due to the EAB's placement in the middle of the row. Figure 10 shows how the cascade function can connect adjacent LEs to form functions with a wide fan-in. These examples show functions of 4n variables implemented with n LEs. The LE delay is 0.9 ns; the cascade chain delay is 0.6 ns. With the cascade chain, 2.7 ns are needed to decode a 16-bit address. Figure 10. FLEX 10KE Cascade Chain Operation
AND Cascade Chain OR Cascade Chain
d[3..0]
LUT LE1
d[3..0]
LUT LE1
d[7..4]
LUT LE2
d[7..4]
LUT LE2
d[(4n - 1)..(4n - 4)]
LUT LEn
d[(4n - 1)..(4n - 4)]
LUT LEn
20
Altera Corporation
FLEX 10KE Embedded Programmable Logic Family Data Sheet
LE Operating Modes
The FLEX 10KE LE can operate in the following four modes:
s s s s
Normal mode Arithmetic mode Up/down counter mode Clearable counter mode
Each of these modes uses LE resources differently. In each mode, seven available inputs to the LE--the four data inputs from the LAB local interconnect, the feedback from the programmable register, and the carry-in and cascade-in from the previous LE--are directed to different destinations to implement the desired logic function. Three inputs to the LE provide clock, clear, and preset control for the register. The MAX+PLUS II software, in conjunction with parameterized functions such as LPM and DesignWare functions, automatically chooses the appropriate mode for common functions such as counters, adders, and multipliers. If required, the designer can also create special-purpose functions that use a specific LE operating mode for optimal performance. The architecture provides a synchronous clock enable to the register in all four modes. The MAX+PLUS II software can set DATA1 to enable the register synchronously, providing easy implementation of fully synchronous designs.
Altera Corporation
21
FLEX 10KE Embedded Programmable Logic Family Data Sheet
Figure 11 shows the LE operating modes. Figure 11. FLEX 10KE LE Operating Modes
Normal Mode
Carry-In data1 data2 data3 4-Input LUT D PRN Q LE-Out to Local Interconnect Cascade-In LE-Out to FastTrack Interconnect
ENA CLRN data4 Cascade-Out
Arithmetic Mode
Carry-In Cascade-In LE-Out data1 data2 3-Input LUT PRN D Q ENA CLRN
3-Input LUT Carry-Out Cascade-Out
Up/Down Counter Mode
Carry-In Cascade-In
data1 (ena) data2 (u/d) data3 (data)
3-Input LUT
1 0
D
PRN Q
LE-Out
3-Input LUT data4 (nload) Carry-Out Cascade-Out
ENA CLRN
Clearable Counter Mode
Carry-In
data1 (ena) data2 (nclr) data3 (data)
3-Input LUT
1 0
D
PRN Q
LE-Out
3-Input LUT data4 (nload) Carry-Out Cascade-Out
ENA CLRN
22
Altera Corporation
FLEX 10KE Embedded Programmable Logic Family Data Sheet
Normal Mode The normal mode is suitable for general logic applications and wide decoding functions that can take advantage of a cascade chain. In normal mode, four data inputs from the LAB local interconnect and the carry-in are inputs to a 4-input LUT. The MAX+PLUS II Compiler automatically selects the carry-in or the DATA3 signal as one of the inputs to the LUT. The LUT output can be combined with the cascade-in signal to form a cascade chain through the cascade-out signal. Either the register or the LUT can be used to drive both the local interconnect and the FastTrack Interconnect routing structure at the same time. The LUT and the register in the LE can be used independently (register packing). To support register packing, the LE has two outputs; one drives the local interconnect, and the other drives the FastTrack Interconnect routing structure. The DATA4 signal can drive the register directly, allowing the LUT to compute a function that is independent of the registered signal; a 3-input function can be computed in the LUT, and a fourth independent signal can be registered. Alternatively, a 4-input function can be generated, and one of the inputs to this function can be used to drive the register. The register in a packed LE can still use the clock enable, clear, and preset signals in the LE. In a packed LE, the register can drive the FastTrack Interconnect routing structure while the LUT drives the local interconnect, or vice versa. Arithmetic Mode The arithmetic mode offers two 3-input LUTs that are ideal for implementing adders, accumulators, and comparators. One LUT computes a 3-input function; the other generates a carry output. As shown in Figure 11 on page 22, the first LUT uses the carry-in signal and two data inputs from the LAB local interconnect to generate a combinatorial or registered output. For example, in an adder, this output is the sum of three signals: a, b, and carry-in. The second LUT uses the same three signals to generate a carry-out signal, thereby creating a carry chain. The arithmetic mode also supports simultaneous use of the cascade chain. Up/Down Counter Mode The up/down counter mode offers counter enable, clock enable, synchronous up/down control, and data loading options. These control signals are generated by the data inputs from the LAB local interconnect, the carry-in signal, and output feedback from the programmable register. Two 3-input LUTs are used: one generates the counter data, and the other generates the fast carry bit. A 2-to-1 multiplexer provides synchronous loading. Data can also be loaded asynchronously with the clear and preset register control signals without using the LUT resources.
Altera Corporation
23
FLEX 10KE Embedded Programmable Logic Family Data Sheet
Clearable Counter Mode The clearable counter mode is similar to the up/down counter mode, but supports a synchronous clear instead of the up/down control. The clear function is substituted for the cascade-in signal in the up/down counter mode. Two 3-input LUTs are used: one generates the counter data, and the other generates the fast carry bit. Synchronous loading is provided by a 2-to-1 multiplexer. The output of this multiplexer is AND ed with a synchronous clear signal.
Internal Tri-State Emulation
Internal tri-state emulation provides internal tri-states without the limitations of a physical tri-state bus. In a physical tri-state bus, the tri-state buffers' output enable (OE) signals select which signal drives the bus. However, if multiple OE signals are active, contending signals can be driven onto the bus. Conversely, if no OE signals are active, the bus will float. Internal tri-state emulation resolves contending tri-state buffers to a low value and floating buses to a high value, thereby eliminating these problems. The MAX+PLUS II software automatically implements tri-state bus functionality with a multiplexer.
Clear & Preset Logic Control
Logic for the programmable register's clear and preset functions is controlled by the DATA3, LABCTRL1, and LABCTRL2 inputs to the LE. The clear and preset control structure of the LE asynchronously loads signals into a register. Either LABCTRL1 or LABCTRL2 can control the asynchronous clear. Alternatively, the register can be set up so that LABCTRL1 implements an asynchronous load. The data to be loaded is driven to DATA3; when LABCTRL1 is asserted, DATA3 is loaded into the register. During compilation, the MAX+PLUS II Compiler automatically selects the best control signal implementation. Because the clear and preset functions are active-low, the Compiler automatically assigns a logic high to an unused clear or preset. The clear and preset logic is implemented in one of the following six modes chosen during design entry:
s s s s s s
Asynchronous clear Asynchronous preset Asynchronous clear and preset Asynchronous load with clear Asynchronous load with preset Asynchronous load without clear or preset
24
Altera Corporation
FLEX 10KE Embedded Programmable Logic Family Data Sheet
In addition to the six clear and preset modes, FLEX 10KE devices provide a chip-wide reset pin that can reset all registers in the device. Use of this feature is set during design entry. In any of the clear and preset modes, the chip-wide reset overrides all other signals. Registers with asynchronous presets may be preset when the chip-wide reset is asserted. Inversion can be used to implement the asynchronous preset. Figure 12 shows examples of how to setup the preset and clear inputs for the desired functionality. Figure 12. FLEX 10KE LE Clear & Preset Modes
Asynchronous Clear
VCC Chip-Wide Reset labctrl1 or labctrl2 PRN Q labctrl2 Chip-Wide Reset
Asynchronous Preset
Asynchronous Preset & Clear
labctrl1 PRN Q
PRN D Q
D
D labctrl1 or labctrl2 Chip-Wide Reset CLRN
CLRN
CLRN VCC
Asynchronous Load with Clear
NOT labctrl1 (Asynchronous Load) data3 (Data) NOT labctrl2 (Clear) Chip-Wide Reset D PRN Q
Asynchronous Load without Clear or Preset
NOT labctrl1 (Asynchronous Load) data3 (Data) D PRN Q
CLRN NOT
CLRN
Asynchronous Load with Preset
NOT labctrl1 (Asynchronous Load) labctrl2 (Preset) D data3 (Data) CLRN NOT
Chip-Wide Reset
PRN Q
Chip-Wide Reset
Altera Corporation
25
FLEX 10KE Embedded Programmable Logic Family Data Sheet
Asynchronous Clear The flipflop can be cleared by either LABCTRL1 or LABCTRL2. In this mode, the preset signal is tied to VCC to deactivate it. Asynchronous Preset An asynchronous preset is implemented as an asynchronous load, or with an asynchronous clear. If DATA3 is tied to VCC, asserting LABCTRL1 asynchronously loads a one into the register. Alternatively, the MAX+PLUS II software can provide preset control by using the clear and inverting the input and output of the register. Inversion control is available for the inputs to both LEs and IOEs. Therefore, if a register is preset by only one of the two LABCTRL signals, the DATA3 input is not needed and can be used for one of the LE operating modes. Asynchronous Preset & Clear When implementing asynchronous clear and preset, LABCTRL1 controls the preset and LABCTRL2 controls the clear. DATA3 is tied to VCC, so that asserting LABCTRL1 asynchronously loads a one into the register, effectively presetting the register. Asserting LABCTRL2 clears the register. Asynchronous Load with Clear When implementing an asynchronous load in conjunction with the clear, LABCTRL1 implements the asynchronous load of DATA3 by controlling the register preset and clear. LABCTRL2 implements the clear by controlling the register clear; LABCTRL2 does not have to feed the preset circuits. Asynchronous Load with Preset When implementing an asynchronous load in conjunction with preset, the MAX+PLUS II software provides preset control by using the clear and inverting the input and output of the register. Asserting LABCTRL2 presets the register, while asserting LABCTRL1 loads the register. The MAX+PLUS II software inverts the signal that drives DATA3 to account for the inversion of the register's output. Asynchronous Load without Preset or Clear When implementing an asynchronous load without preset or clear, LABCTRL1 implements the asynchronous load of DATA3 by controlling the register preset and clear.
26
Altera Corporation
FLEX 10KE Embedded Programmable Logic Family Data Sheet
FastTrack Interconnect Routing Structure
In the FLEX 10KE architecture, connections between LEs, EABs, and device I/O pins are provided by the FastTrack Interconnect routing structure, which is a series of continuous horizontal and vertical routing channels that traverses the device. This global routing structure provides predictable performance, even in complex designs. In contrast, the segmented routing in FPGAs requires switch matrices to connect a variable number of routing paths, increasing the delays between logic resources and reducing performance. The FastTrack Interconnect routing structure consists of row and column interconnect channels that span the entire device. Each row of LABs is served by a dedicated row interconnect. The row interconnect can drive I/O pins and feed other LABs in the row. The column interconnect routes signals between rows and can drive I/O pins. Row channels drive into the LAB or EAB local interconnect. The row signal is buffered at every LAB or EAB to reduce the effect of fan-out on delay. A row channel can be driven by an LE or by one of three column channels. These four signals feed dual 4-to-1 multiplexers that connect to two specific row channels. These multiplexers, which are connected to each LE, allow column channels to drive row channels even when all eight LEs in a LAB drive the row interconnect. Each column of LABs or EABs is served by a dedicated column interconnect. The column interconnect that serves the EABs has twice as many channels as other column interconnects. The column interconnect can then drive I/O pins or another row's interconnect to route the signals to other LABs or EABs in the device. A signal from the column interconnect, which can be either the output of a LE or an input from an I/O pin, must be routed to the row interconnect before it can enter a LAB or EAB. Each row channel that is driven by an IOE or EAB can drive one specific column channel. Access to row and column channels can be switched between LEs in adjacent pairs of LABs. For example, a LE in one LAB can drive the row and column channels normally driven by a particular LE in the adjacent LAB in the same row, and vice versa. This flexibility enables routing resources to be used more efficiently (see Figure 13).
Altera Corporation
27
FLEX 10KE Embedded Programmable Logic Family Data Sheet
Figure 13. FLEX 10KE LAB Connections to Row & Column Interconnect
Column Channels
Row Channels
To Other Columns
At each intersection, six row channels can drive column channels (1).
Each LE can drive two row channels.
From Adjacent LAB To Adjacent LAB LE 1
LE 2
Each LE can switch interconnect access with an LE in the adjacent LAB.
LE 8
To LAB Local Interconnect
To Other Rows
Note:
(1) In EPF10K100B devices, four row channels can drive column channels at each intersection.
28
Altera Corporation
FLEX 10KE Embedded Programmable Logic Family Data Sheet
For improved routing, the row interconnect consists of a combination of full-length and half-length channels. The full-length channels connect to all LABs in a row; the half-length channels connect to the LABs in half of the row. The EAB can be driven by the half-length channels in the left half of the row and by the full-length channels. The EAB drives out to the fulllength channels. In addition to providing a predictable, row-wide interconnect, this architecture provides increased routing resources. Two neighboring LABs can be connected using a half-row channel, thereby saving the other half of the channel for the other half of the row. Table 7 summarizes the FastTrack Interconnect routing structure resources available in each FLEX 10KE device. Table 7. FLEX 10KE FastTrack Interconnect Resources Device
EPF10K30E EPF10K50E EPF10K50S EPF10K100B EPF10K100E EPF10K130E EPF10K200E EPF10K200S
Rows
6 10 12 16 24
Channels per Row
216 216 312 312 312
Columns
36 36 52 52 52
Channels per Column
24 24 24 32 48
In addition to general-purpose I/O pins, FLEX 10KE devices have six dedicated input pins that provide low-skew signal distribution across the device. These six inputs can be used for global clock, clear, preset, and peripheral output enable and clock enable control signals. These signals are available as control signals for all LABs and IOEs in the device. The dedicated inputs can also be used as general-purpose data inputs because they can feed the local interconnect of each LAB in the device. Figure 14 shows the interconnection of adjacent LABs and EABs, with row, column, and local interconnects, as well as the associated cascade and carry chains. Each LAB is labeled according to its location: a letter represents the row and a number represents the column. For example, LAB B3 is in row B, column 3.
Altera Corporation
29
FLEX 10KE Embedded Programmable Logic Family Data Sheet
Figure 14. FLEX 10KE Interconnect Resources
See Figure 17 for details. I/O Element (IOE)
IOE IOE IOE IOE IOE IOE
IOE
IOE
IOE
IOE
Row Interconnect
LAB A1
LAB A2
LAB A3
See Figure 16 for details.
Column Interconnect
IOE
LAB A5 LAB A4
IOE
IOE
IOE
LAB B1
LAB B2
LAB B3
Cascade & Carry Chains
LAB B5 LAB B4
IOE
IOE
IOE
IOE
IOE
IOE
I/O Element
An IOE contains a bidirectional I/O buffer and a register that can be used either as an input register for external data that requires a fast setup time, or as an output register for data that requires fast clock-to-output performance. In some cases, using an LE register for an input register will result in a faster setup time than using an IOE register. IOEs can be used as input, output, or bidirectional pins. The MAX+PLUS II Compilers use the programmable inversion option to invert signals from the row and column interconnect automatically where appropriate. Figure 15 shows the bidirectional I/O register.
30
Altera Corporation
FLEX 10KE Embedded Programmable Logic Family Data Sheet
Figure 15. FLEX 10KE Bidirectional I/O Registers
Row and Column Interconnect 2 Dedicated Clock Inputs
4 Dedicated Inputs
Peripheral Control Bus
2
4
12
OE Register D Q
VCC
ENA CLRN
Chip-Wide Reset
VCC OE[7..0]
Chip-Wide Output Enable
(1)
Programmable Delay VCC
Output Register (2) D Q
CLK[1..0] CLK[3..2] VCC ENA[5..0] VCC CLRN[1..0] ENA CLRN
Open-Drain Output Slew-Rate Control
Chip-Wide Reset Input Register (2)
D VCC ENA CLRN Q
Chip-Wide Reset
Notes:
(1) (2) Selected FLEX 10KE devices include programmable delay buffers on the input path. The output enable and input registers are LE registers in the lab adjacent to the bidirectional pin.
Altera Corporation
31
FLEX 10KE Embedded Programmable Logic Family Data Sheet
On all FLEX 10KE devices (except EPF10K50E and EPF10K200E), the input path from the I/O pad to the FastTrack Interconnect has a programmable delay element that can be used to guarantee a zero hold time. EPF10K50S and EPF10K200S devices support this feature. Depending on the placement of the IOE relative to what it is driving, the designer may choose to turn on the programmable delay to ensure a zero hold time or turn it off to minimize setup time. This feature is used to reduce setup time for complex pin-to-register paths (e.g., PCI designs). Each IOE selects the clock, clear, clock enable, and output enable controls from a network of I/O control signals called the peripheral control bus. The peripheral control bus uses high-speed drivers to minimize signal skew across devices and provides up to 12 peripheral control signals that can be allocated as follows:
s s s s
Up to eight output enable signals Up to six clock enable signals Up to two clock signals Up to two clear signals
If more than six clock enable or eight output enable signals are required, each IOE on the device can be controlled by clock enable and output enable signals driven by specific LEs. In addition to the two clock signals available on the peripheral control bus, each IOE can use one of two dedicated clock pins. Each peripheral control signal can be driven by any of the dedicated input pins or the first LE of each LAB in a particular row. In addition, a LE in a different row can drive a column interconnect, which causes a row interconnect to drive the peripheral control signal. The chipwide reset signal resets all IOE registers, overriding any other control signals. When a dedicated clock pin drives IOE registers, it can be inverted for all IOEs in the device. All IOEs must use the same sense of the clock. For example, if any IOE uses the inverted clock, all IOEs must use the inverted clock and no IOE can use the non-inverted clock. However, LEs can still use the true or complement of the clock on a LAB-by-LAB basis. The incoming signal may be inverted at the dedicated clock pin and will drive all IOEs. For the true and complement of a clock to be used to drive IOEs, drive it into both global clock pins. One global clock pin will supply the true, and the other will supply the complement. When the true and complement of a dedicated input drives IOE clocks, two signals on the peripheral control bus are consumed, one for each sense of the clock.
32
Altera Corporation
FLEX 10KE Embedded Programmable Logic Family Data Sheet
When dedicated inputs drive non-inverted and inverted peripheral clears, clock enables, and output enables, two signals on the peripheral control bus will be used. Tables 8 and 9 list the sources for each peripheral control signal, and show how the output enable, clock enable, clock, and clear signals share 12 peripheral control signals. The tables also show the rows that can drive global signals. Table 8. Peripheral Bus Sources for EPF10K30E, EPF10K50E & EPF10K50S Devices Peripheral Control Signal
OE0 OE1 OE2 OE3 OE4 OE5 CLKENA0/CLK0/GLOBAL0 CLKENA1/OE6/GLOBAL1 CLKENA2/CLR0 CLKENA3/OE7/GLOBAL2 CLKENA4/CLR1 CLKENA5/CLK1/GLOBAL3
EPF10K30E
Row A Row B Row C Row D Row E Row F Row A Row B Row C Row D Row E Row F
EPF10K50E EPF10K50S
Row A Row B Row D Row F Row H Row J Row A Row C Row E Row G Row I Row J
Altera Corporation
33
FLEX 10KE Embedded Programmable Logic Family Data Sheet
Table 9. Peripheral Bus Sources for EPF10K100B, EPF10K100E, EPF10K130E, EPF10K200E & EPF10K200S Devices Peripheral Control Signal
OE0 OE1 OE2 OE3 OE4 OE5 CLKENA0/CLK0/GLOBAL0 CLKENA1/OE6/GLOBAL1 CLKENA2/CLR0 CLKENA3/OE7/GLOBAL2 CLKENA4/CLR1 CLKENA5/CLK1/GLOBAL3
EPF10K100B EPF10K100E
Row A Row C Row E Row L Row I Row K Row F Row D Row B Row H Row J Row G
EPF10K130E
Row C Row E Row G Row N Row K Row M Row H Row F Row D Row J Row L Row I
EPF10K200E EPF10K200S
Row G Row I Row K Row R Row O Row Q Row L Row J Row H Row N Row P Row M
Signals on the peripheral control bus can also drive the four global signals, referred to as GLOBAL0 through GLOBAL3 in Tables 8 and 9. An internally generated signal can drive a global signal, providing the same low-skew, low-delay characteristics as a signal driven by an input pin. An LE drives the global signal by driving a row line that drives the peripheral bus, which then drives the global signal. This feature is ideal for internally generated clear or clock signals with high fan-out. However, internally driven global signals offer no advantage over the general-purpose interconnect for routing data signals. The chip-wide output enable pin is an active-low pin that can be used to tri-state all pins on the device. This option can be set in the MAX+PLUS II software. On EPF10K50E and EPF10K200E devices, the built-in I/O pin pull-up resistors (which are active during configuration) are active when the chip-wide output enable pin is asserted. The registers in the IOE can also be reset by the chip-wide reset pin.
34
Altera Corporation
FLEX 10KE Embedded Programmable Logic Family Data Sheet
Row-to-IOE Connections
When an IOE is used as an input signal, it can drive two separate row channels. The signal is accessible by all LEs within that row. When an IOE is used as an output, the signal is driven by a multiplexer that selects a signal from the row channels. Up to eight IOEs connect to each side of each row channel (see Figure 16). Figure 16. FLEX 10KE Row-to-IOE Connections
The values for m and n are provided in Table 10.
IOE1
m
Row FastTrack Interconnect
n
n n
IOE8
m
Each IOE is driven by an m-to-1 multiplexer. Each IOE can drive two row channels.
Table 10 lists the FLEX 10KE row-to-IOE interconnect resources. Table 10. FLEX 10KE Row-to-IOE Interconnect Resources Device
EPF10K30E EPF10K50E EPF10K50S EPF10K100B EPF10K100E EPF10K130E EPF10K200E EPF10K200S
Channels per Row (n)
216 216 312 312 312
Row Channels per Pin (m)
27 27 39 39 39
Altera Corporation
35
FLEX 10KE Embedded Programmable Logic Family Data Sheet
Column-to-IOE Connections
When an IOE is used as an input, it can drive up to two separate column channels. When an IOE is used as an output, the signal is driven by a multiplexer that selects a signal from the column channels. Two IOEs connect to each side of the column channels. Each IOE can be driven by column channels via a multiplexer. The set of column channels is different for each IOE (see Figure 17). Figure 17. FLEX 10KE Column-to-IOE Connections
The values for m and n are provided in Table 11.
Each IOE is driven by a m-to-1 multiplexer
m
IOE1
Column Interconnect
n
n
n m
IOE1
Each IOE can drive two column channels.
Table 11 lists the FLEX 10KE column-to-IOE interconnect resources. Table 11. FLEX 10KE Column-to-IOE Interconnect Resources Device
EPF10K30E EPF10K50E EPF10K50S EPF10K100B EPF10K100E EPF10K130E EPF10K200E EPF10K200S
Channels per Column (n)
24 24 24 32 48
Column Channels per Pin (m)
16 16 16 24 40
36
Altera Corporation
FLEX 10KE Embedded Programmable Logic Family Data Sheet
SameFrame Pin-Outs
FLEX 10KE devices support the SameFrame pin-out feature for FineLine BGA packages. The SameFrame pin-out feature is the arrangement of balls on FineLine BGA packages such that the lower-ballcount packages form a subset of the higher-ball-count packages. SameFrame pin-outs provide the flexibility to migrate not only from device to device within the same package, but also from one package to another. A given printed circuit board (PCB) layout can support multiple device density/package combinations. For example, a single board layout can support a range of devices from an EPF10K30E device in a 256-pin FineLine BGA package to an EPF10K200S device in a 672-pin FineLine BGA package. The MAX+PLUS II software provides support to design PCBs with SameFrame pin-out devices. Devices can be defined for present and future use. The MAX+PLUS II software generates pin-outs describing how to lay out a board to take advantage of this migration (see Figure 18). Figure 18. SameFrame Pin-Out Example
Printed Circuit Board Designed for 256-Pin FineLine BGA Package
100-Pin FineLine BGA
256-Pin FineLine BGA
100-Pin FineLine BGA Package (Reduced I/O Count or Logic Requirements)
256-Pin FineLine BGA Package (Increased I/O Count or Logic Requirements)
Altera Corporation
37
FLEX 10KE Embedded Programmable Logic Family Data Sheet
ClockLock & ClockBoost Features
To support high-speed designs, FLEX 10KE devices offer optional ClockLock and ClockBoost circuitry containing a phase-locked loop (PLL) that is used to increase design speed and reduce resource usage. The ClockLock circuitry uses a synchronizing PLL that reduces the clock delay and skew within a device. This reduction minimizes clock-to-output and setup times while maintaining zero hold times. The ClockBoost circuitry, which provides a clock multiplier, allows the designer to enhance device area efficiency by resource sharing within the device. The ClockBoost feature allows the designer to distribute a low-speed clock and multiply that clock on-device. Combined, the ClockLock and ClockBoost features provide significant improvements in system performance and bandwidth. All FLEX 10KE devices, except EPF10K50E and EPF10K200E devices, support ClockLock and ClockBoost circuitry. EPF10K50S and EPF10K200S devices support this circuitry. Devices that support ClockLock and ClockBoost circuitry are distinguished with an "X" suffix in the ordering code; for instance, the EPF10K200SFC672-1X device supports this circuit. The ClockLock and ClockBoost features in FLEX 10KE devices are enabled through the MAX+PLUS II software. External devices are not required to use these features. The output of the ClockLock and ClockBoost circuits is not available at any of the device pins. The ClockLock and ClockBoost circuitry locks onto the rising edge of the incoming clock. The circuit output can drive the clock inputs of registers only; the generated clock cannot be gated or inverted. The dedicated clock pin (GCLK1) supplies the clock to the ClockLock and ClockBoost circuitry. When the dedicated clock pin is driving the ClockLock or ClockBoost circuitry, it cannot drive elsewhere in the device. For designs that require both a multiplied and non-multiplied clock, the clock trace on the board can be connected to the GCLK1 pin. In the MAX+PLUS II software, the GCLK1 pin can feed both the ClockLock and ClockBoost circuitry in the FLEX 10KE device. However, when both circuits are used, the other clock pin cannot be used.
38
Altera Corporation
FLEX 10KE Embedded Programmable Logic Family Data Sheet
ClockLock & ClockBoost Timing Parameters
For the ClockLock and ClockBoost circuitry to function properly, the incoming clock must meet certain requirements. If these specifications are not met, the circuitry may not lock onto the incoming clock, which generates an erroneous clock within the device. The clock generated by the ClockLock and ClockBoost circuitry must also meet certain specifications. If the incoming clock meets these requirements during configuration, the ClockLock and ClockBoost circuitry will lock onto the clock during configuration. The circuit will be ready for use immediately after configuration. Figure 19 shows the incoming and generated clock specifications. Figure 19. Specifications for Incoming & Generated Clocks
The tI parameter refers to the nominal input clock period; the tO parameter refers to the nominal output clock period.
tCLK1
Input Clock
tINDUTY
tI fCLKDEV
tR
tF tOUTDUTY
tI
tI tINCLKSTB
ClockLockGenerated Clock
tO
tO + tJITTER
tO - tJITTER
Altera Corporation
39
FLEX 10KE Embedded Programmable Logic Family Data Sheet
Tables 12 and 13 summarize the ClockLock and ClockBoost parameters for -1 and -2 speed-grade devices, respectively. Table 12. ClockLock & ClockBoost Parameters for -1 Speed-Grade Devices Symbol
tR tF t INDUTY f CLK1 fCLK2 f CLKDEV
Parameter
Input rise time Input fall time Input duty cycle Input clock frequency (ClockBoost clock multiplication factor equals 1) Input clock frequency (ClockBoost clock multiplication factor equals 2) Input deviation from user specification in the MAX+PLUS II software (ClockBoost clock multiplication factor equals 1) (1) Input clock stability (measured between adjacent clocks) Time required for ClockLock or ClockBoost to acquire lock (3) Jitter on ClockLock or ClockBoostgenerated clock (4) Duty cycle for ClockLock or ClockBoost-generated clock
Condition
Min
Typ
Max
5 5
Unit
ns ns % MHz MHz PPM
40 25 16
60 180 90 25,000 (2)
t INCLKSTB t LOCK t JITTER
100 10 t INCLKSTB < 100 t INCLKSTB < 50 40 50 250 200 (4) 60
ps s ps ps %
tOUTDUTY
40
Altera Corporation
FLEX 10KE Embedded Programmable Logic Family Data Sheet
Table 13. ClockLock & ClockBoost Parameters for -2 Speed-Grade Devices Symbol
tR tF t INDUTY f CLK1 fCLK2 f CLKDEV
Parameter
Input rise time Input fall time Input duty cycle Input clock frequency (ClockBoost clock multiplication factor equals 1) Input clock frequency (ClockBoost clock multiplication factor equals 2) Input deviation from user specification in the MAX+PLUS II software (ClockBoost clock multiplication factor equals 1) (1) Input clock stability (measured between adjacent clocks) Time required for ClockLock or ClockBoost to acquire lock (3) Jitter on ClockLock or ClockBoostgenerated clock (4) Duty cycle for ClockLock or ClockBoost-generated clock
Condition
Min
Typ
Max
5 5
Unit
ns ns % MHz MHz PPM
40 25 16
60 75 37.5 25,000 (2)
t INCLKSTB t LOCK t JITTER
100 10 t INCLKSTB < 100 t INCLKSTB < 50 40 50 250 200 (4) 60
ps s ps ps %
tOUTDUTY
Notes to tables:
(1) To implement the ClockLock and ClockBoost circuitry with the MAX+PLUS II software, designers must specify the input frequency. The MAX+PLUS II software tunes the PLL in the ClockLock and ClockBoost circuitry to this frequency. The fCLKDEV parameter specifies how much the incoming clock can differ from the specified frequency during device operation. Simulation does not reflect this parameter. Twenty-five thousand parts per million (PPM) equates to 2.5% of input clock period. During device configuration, the ClockLock and ClockBoost circuitry is configured before the rest of the device. If the incoming clock is supplied during configuration, the ClockLock and ClockBoost circuitry locks during configuration because the tLOCK value is less than the time required for configuration. The tJITTER specification is measured under long-term observation. The maximum value for tJITTER is 200 ps if tINCLKSTB is lower than 50 ps.
(2) (3)
(4)
I/O Configuration
This section discusses the peripheral component interconnect (PCI) pull-up clamping diode option, slew-rate control, open-drain output option, and MultiVolt I/O interface for FLEX 10KE devices. The PCI pull-up clamping diode, slew-rate control, and open-drain output options are controlled pin-by-pin via MAX+PLUS II logic options. The MultiVolt I/O interface is controlled by connecting VCCIO to a different voltage than VCCINT. Its effect can be simulated in the MAX+PLUS II software via the Global Project Device Options dialog box (Assign menu).
Altera Corporation
41
FLEX 10KE Embedded Programmable Logic Family Data Sheet
PCI Pull-Up Clamping Diode Option
FLEX 10KE devices have a pull-up clamping diode on every I/O, dedicated input, and dedicated clock pin. PCI clamping diodes clamp the signal to the VCCIO value and are required for 3.3-V PCI compliance. Clamping diodes can also be used to limit overshoot in other systems. Clamping diodes are controlled on a pin-by-pin basis. When VCCIO is 3.3 V, a pin that has the clamping diode option turned on can be driven by a 2.5-V or 3.3-V signal, but not a 5.0-V signal. When VCCIO is 2.5 V, a pin that has the clamping diode option turned on can be driven by a 2.5-V signal, but not a 3.3-V or 5.0-V signal. Additionally, a clamping diode can be activated for a subset of pins, which would allow a device to bridge between a 3.3-V PCI bus and a 5.0-V device.
Slew-Rate Control
The output buffer in each IOE has an adjustable output slew rate that can be configured for low-noise or high-speed performance. A slower slew rate reduces system noise and adds a maximum delay of 4.3 ns. The fast slew rate should be used for speed-critical outputs in systems that are adequately protected against noise. Designers can specify the slew rate pin-by-pin or assign a default slew rate to all pins on a device-wide basis. The slow slew rate setting affects the falling edge of the output.
Open-Drain Output Option
FLEX 10KE devices provide an optional open-drain output (electrically equivalent to open-collector output) for each I/O pin. This open-drain output enables the device to provide system-level control signals (e.g., interrupt and write enable signals) that can be asserted by any of several devices. It can also provide an additional wired-OR plane.
MultiVolt I/O Interface
The FLEX 10KE device architecture supports the MultiVolt I/O interface feature, which allows FLEX 10KE devices in all packages to interface with systems of differing supply voltages. These devices have one set of VCC pins for internal operation and input buffers (VCCINT), and another set for I/O output drivers (VCCIO).
42
Altera Corporation
FLEX 10KE Embedded Programmable Logic Family Data Sheet
The VCCINT pins must always be connected to a 2.5-V power supply. With a 2.5-V VCCINT level, input voltages are compatible with 2.5-V, 3.3-V, and 5.0-V inputs. The VCCIO pins can be connected to either a 2.5-V or 3.3-V power supply, depending on the output requirements. When the VCCIO pins are connected to a 2.5-V power supply, the output levels are compatible with 2.5-V systems. When the VCCIO pins are connected to a 3.3-V power supply, the output high is at 3.3 V and is therefore compatible with 3.3-V or 5.0-V systems. Devices operating with VCCIO levels higher than 3.0 V achieve a faster timing delay of tOD2 instead of tOD1. Table 14 summarizes FLEX 10KE MultiVolt I/O support. Table 14. FLEX 10KE MultiVolt I/O Support VCCIO (V) 2.5
2.5 3.3 Notes:
(1) (2) The PCI clamping diode must be disabled to drive an input with voltages higher than VCCIO. When VCCIO = 3.3 V, a FLEX 10KE device can drive a 2.5-V device that has 3.3-V tolerant inputs.
Input Signal (V) 3.3
v(1) v
Output Signal (V) 5.0 2.5
v v(2) v v
3.3
5.0
v v
v(1) v(1)
Open-drain output pins on FLEX 10KE devices (with a pull-up resistor to the 5.0-V supply) can drive 5.0-V CMOS input pins that require a VIH of 3.5 V. When the open-drain pin is active, it will drive low. When the pin is inactive, the trace will be pulled up to 5.0 V by the resistor. The opendrain pin will only drive low or tri-state; it will never drive high. The rise time is dependent on the value of the pull-up resistor and load impedance. The IOL current specification should be considered when selecting a pull-up resistor.
Power Sequencing & Hot-Socketing
Because FLEX 10KE devices can be used in a mixed-voltage environment, they have been designed specifically to tolerate any possible power-up sequence. The VCCIO and VCCINT power planes can be powered in any order. Signals can be driven into FLEX 10KE devices before and during power up without damaging the device. Additionally, FLEX 10KE devices do not drive out during power up. Once operating conditions are reached, FLEX 10KE devices operate as specified by the user.
Altera Corporation
43
FLEX 10KE Embedded Programmable Logic Family Data Sheet
IEEE Std. 1149.1 (JTAG) Boundary-Scan Support
All FLEX 10KE devices provide JTAG BST circuitry that complies with the IEEE Std. 1149.1-1990 specification. FLEX 10KE devices can also be configured using the JTAG pins through the BitBlaster or ByteBlasterMV download cable, or via hardware that uses the JamTM programming and test language. JTAG boundary-scan testing can be performed before or after configuration, but not during configuration. FLEX 10KE devices support the JTAG instructions shown in Table 15.
Table 15. FLEX 10KE JTAG Instructions JTAG Instruction
SAMPLE/PRELOAD
Description
Allows a snapshot of signals at the device pins to be captured and examined during normal device operation, and permits an initial data pattern to be output at the device pins. Allows the external circuitry and board-level interconnections to be tested by forcing a test pattern at the output pins and capturing test results at the input pins. Places the 1-bit bypass register between the TDI and TDO pins, which allows the BST data to pass synchronously through a selected device to adjacent devices during normal device operation. Selects the user electronic signature (USERCODE) register and places it between the TDI and TDO pins, allowing the USERCODE to be serially shifted out of TDO. Selects the IDCODE register and places it between TDI and TDO, allowing the IDCODE to be serially shifted out of TDO. These instructions are used when configuring a FLEX 10KE device via JTAG ports with a BitBlaster or ByteBlasterMV download cable, or using a Jam File (.jam) or Jam Byte-Code File (.jbc) via an embedded processor.
EXTEST BYPASS
USERCODE IDCODE ICR Instructions
The instruction register length of FLEX 10KE devices is 10 bits. The USERCODE register length in FLEX 10KE devices is 32 bits; 7 bits are determined by the user, and 25 bits are pre-determined. Tables 16 and 17 show the boundary-scan register length and device IDCODE information for FLEX 10KE devices. Table 16. FLEX 10KE Boundary-Scan Register Length Device
EPF10K30E EPF10K50E EPF10K50S EPF10K100B EPF10K100E EPF10K130E EPF10K200E EPF10K200S 44
Boundary-Scan Register Length
690 798 873 1,050 1,308 1,446
Altera Corporation
FLEX 10KE Embedded Programmable Logic Family Data Sheet
Table 17. 32-Bit IDCODE for FLEX 10KE Devices Device Version (4 Bits)
EPF10K30E EPF10K50E EPF10K50S EPF10K100B EPF10K100E EPF10K130E EPF10K200E EPF10K200S Notes:
(1) (2)
Note (1)
IDCODE (32 Bits) Part Number (16 Bits)
0001 0000 0011 0000 0001 0000 0101 0000 0000 0001 0000 0000 0000 0001 0000 0000 0000 0001 0011 0000 0000 0010 0000 0000
Manufacturer's 1 (1 Bit) Identity (11 Bits) (2)
00001101110 00001101110 00001101110 00001101110 00001101110 00001101110 1 1 1 1 1 1
0001 0001 0001 0010 0001 0001
The most significant bit (MSB) is on the left. The least significant bit (LSB) for all JTAG IDCODEs is 1.
FLEX 10KE devices include weak pull-up resistors on the JTAG pins.
f
For more information, see the following documents:
s s s s
Application Note 39 (IEEE Std. 1149.1 (JTAG) Boundary-Scan Testing in Altera Devices) BitBlaster Serial Download Cable Data Sheet ByteBlasterMV Parallel Port Download Cable Data Sheet Jam Programming & Test Language Specification
Altera Corporation
45
FLEX 10KE Embedded Programmable Logic Family Data Sheet
Figure 20 shows the timing requirements for the JTAG signals. Figure 20. FLEX 10KE JTAG Waveforms
TMS
TDI t JCP t JCH TCK tJPZX TDO tJSSU Signal to Be Captured Signal to Be Driven tJSH t JPCO t JPXZ t JCL t JPSU t JPH
tJSZX
tJSCO
tJSXZ
Table 18 shows the timing parameters and values for FLEX 10KE devices. Table 18. FLEX 10KE JTAG Timing Parameters & Values Symbol
tJCP tJCH tJCL tJPSU tJPH tJPCO tJPZX tJPXZ tJSSU tJSH tJSCO tJSZX tJSXZ TCK clock period TCK clock high time TCK clock low time JTAG port setup time JTAG port hold time JTAG port clock to output JTAG port high impedance to valid output JTAG port valid output to high impedance Capture register setup time Capture register hold time Update register clock to output Update register high impedance to valid output Update register valid output to high impedance 20 45 35 35 35
Parameter
Min
100 50 50 20 45
Max
Unit
ns ns ns ns ns
25 25 25
ns ns ns ns ns ns ns ns
46
Altera Corporation
FLEX 10KE Embedded Programmable Logic Family Data Sheet
Generic Testing
Each FLEX 10KE device is functionally tested. Complete testing of each configurable static random access memory (SRAM) bit and all logic functionality ensures 100% yield. AC test measurements for FLEX 10KE devices are made under conditions equivalent to those shown in Figure 21. Multiple test patterns can be used to configure devices during all stages of the production flow. Figure 21. FLEX 10KE AC Test Conditions
Power supply transients can affect AC measurements. Simultaneous transitions of multiple outputs should be avoided for 703 accurate measurement. Threshold tests [481 ] must not be performed under AC Device conditions. Large-amplitude, fastOutput ground-current transients normally occur as the device outputs discharge the load capacitances. When these 8.06 k transients flow through the parasitic [481 ] inductance between the device ground Device input pin and the test system ground, rise and fall significant reductions in observable times < 3 ns noise immunity can result. Numbers in brackets are for 2.5-V devices or outputs. Numbers without brackets are for 3.3-V
VCCIO
Test System
C1 (includes JIG capacitance)
Operating Conditions
Tables 19 through 23 provide information on absolute maximum ratings, recommended operating conditions, DC operating conditions, and capacitance for 2.5-V FLEX 10KE devices. Note (1) Min
-0.5 -0.5 -2.0 -25 No bias Under bias PQFP, TQFP, BGA and FineLine BGA packages, under bias Ceramic PGA packages, under bias -65 -65
Table 19. FLEX 10KE 2.5-V Device Absolute Maximum Ratings Symbol
V CCINT V CCIO VI I OUT T STG T AMB TJ DC input voltage DC output current, per pin Storage temperature Ambient temperature Junction temperature
Parameter
Supply voltage
Conditions
With respect to ground (2)
Max
3.6 4.6 5.75 25 150 135 135 150
Unit
V V V mA C C C C
Altera Corporation
47
FLEX 10KE Embedded Programmable Logic Family Data Sheet
Table 20. 2.5-V EPF10K50E & EPF10K200E Device Recommended Operating Conditions Symbol
V CCINT V CCIO
Parameter
Supply voltage for internal logic and input buffers
Conditions
(3), (4)
Min
2.30 (2.30) 3.00 (3.00) 2.30 (2.30) -0.5 0 0 -40 0 -40
Max
2.70 (2.70) 3.60 (3.60) 2.70 (2.70) 5.75 V CCIO 70 85 85 100 40 40
Unit
V V V V V C C C C ns ns
Supply voltage for output buffers, (3), (4) 3.3-V operation Supply voltage for output buffers, (3), (4) 2.5-V operation
VI VO TA TJ tR tF
Input voltage Output voltage Ambient temperature Operating temperature Input rise time Input fall time
(5) For commercial use For industrial use For commercial use For industrial use
Table 21. 2.5-V EPF10K30E, EPF10K50S, EPF10K100E, EPF10K130E & EPF10K200S Device Recommended Operating Conditions Symbol
V CCINT V CCIO
Parameter
Supply voltage for internal logic and input buffers
Conditions
(3), (4)
Min
2.375 (2.375) 3.00 (3.00) 2.375 (2.375) -0.5 0 0 -40 0 -40
Max
2.625 (2.625) 3.60 (3.60) 2.625 (2.625) 5.75 V CCIO 70 85 85 100 40 40
Unit
V V V V V C C C C ns ns
Supply voltage for output buffers, (3), (4) 3.3-V operation Supply voltage for output buffers, (3), (4) 2.5-V operation
VI VO TA TJ tR tF
Input voltage Output voltage Ambient temperature Operating temperature Input rise time Input fall time
(5) For commercial use For industrial use For commercial use For industrial use
48
Altera Corporation
FLEX 10KE Embedded Programmable Logic Family Data Sheet
Table 22. FLEX 10KE 2.5-V Device DC Operating Conditions Symbol
V IH
Notes (6), (7) Min
1.7, 0.5 x VCCIO (8) -0.5
Parameter
High-level input voltage
Conditions
Typ
Max
5.75
Unit
V
V IL V OH
Low-level input voltage 3.3-V high-level TTL output voltage 3.3-V high-level CMOS output voltage 3.3-V high-level PCI output voltage 2.5-V high-level output voltage I OH = -8 mA DC, V CCIO = 3.00 V (9) I OH = -0.1 mA DC, V CCIO = 3.00 V (9) I OH = -0.5 mA DC, V CCIO = 3.00 to 3.60 V (9) I OH = -0.1 mA DC, V CCIO = 2.30 V (9) I OH = -1 mA DC, V CCIO = 2.30 V (9) I OH = -2 mA DC, V CCIO = 2.30 V (9)
0.8, 0.3 x VCCIO (8)
V V V V
2.4 V CCIO - 0.2 0.9 x VCCIO
2.1 2.0 1.7 0.45 0.2 0.1 x VCCIO
V V V V V V
V OL
3.3-V low-level TTL output voltage 3.3-V low-level CMOS output voltage 3.3-V low-level PCI output voltage 2.5-V low-level output voltage
I OL = 12 mA DC, V CCIO = 3.00 V (10) I OL = 0.1 mA DC, V CCIO = 3.00 V (10) I OL = 1.5 mA DC, V CCIO = 3.00 to 3.60 V (10) I OL = 0.1 mA DC, V CCIO = 2.30 V (10) I OL = 1 mA DC, V CCIO = 2.30 V (10) I OL = 2 mA DC, V CCIO = 2.30 V (10)
0.2 0.4 0.7 -10 -10 5 10 20 30 50 80 10 10
V V V A A mA mA k k 49
II I OZ I CC0
Input pin leakage current Tri-stated I/O pin leakage current V CC supply current (standby)
V I = 5.7 to -0.5 V VO = 5.7 to -0.5 V V I = ground, no load, no toggling inputs V I = ground, no load, no toggling inputs (11)
R CONF
Value of I/O pin pull-up resistor before and during configuration
V CCIO = 3.0 V (12) V CCIO = 2.3 V (12)
Altera Corporation
FLEX 10KE Embedded Programmable Logic Family Data Sheet
Table 23. FLEX 10KE Device Capacitance Symbol
CIN CINCLK COUT
Note (13) Conditions Min Max
10 12 10
Parameter
Input capacitance Input capacitance on dedicated clock pin Output capacitance
Unit
pF pF pF
VIN = 0 V, f = 1.0 MHz VIN = 0 V, f = 1.0 MHz VOUT = 0 V, f = 1.0 MHz
Notes to tables:
(1) (2) (3) (4) (5) (6) (7) (8) See the Operating Requirements for Altera Devices Data Sheet. Minimum DC input voltage is -0.5 V. During transitions, the inputs may undershoot to -2.0 V for input currents less than 100 mA and periods shorter than 20 ns. Numbers in parentheses are for industrial-temperature-range devices. Maximum VCC rise time is 100 ms, and VCC must rise monotonically. All pins, including dedicated inputs, clock, I/O, and JTAG pins, may be driven before VCCINT and VCCIO are powered. Typical values are for T A = 25 C, V CCINT = 2.5 V, and V CCIO = 2.5 V or 3.3 V. These values are specified under the FLEX 10KE Recommended Operating Conditions shown in Table 20 on page 48. The FLEX 10KE input buffers are compatible with 2.5-V, 3.3-V (LVTTL and LVCMOS), and 5.0-V TTL and CMOS signals. Additionally, the input buffers are 3.3-V PCI compliant when VCCIO and VCCINT meet the relationship shown in Figure 22. The IOH parameter refers to high-level TTL, PCI, or CMOS output current. The IOL parameter refers to low-level TTL, PCI, or CMOS output current. This parameter applies to open-drain pins as well as output pins. This parameter applies to -1 speed grade commercial temperature devices and -2 speed grade industrial temperature devices. Pin pull-up resistance values will be lower if the pin is driven higher than VCCIO by an external source. Capacitance is sample-tested only.
(9) (10) (11) (12) (13)
50
Altera Corporation
FLEX 10KE Embedded Programmable Logic Family Data Sheet
Figure 22 shows the required relationship between VCCIO and VCCINT for 3.3-V PCI compliance. Figure 22. Relationship between VCCIO & VCCINT for 3.3-V PCI Compliance
2.7
V CCINT (V) II
PCI-Compliant Region
2.5
2.3
3.0
3.1
3.3
3.6
VCCIO (V) IO
Figure 23 shows the typical output drive characteristics of FLEX 10KE devices with 3.3-V and 2.5-V VCCIO. The output driver is compliant to the 3.3-V PCI Local Bus Specification, Revision 2.2 (when VCCIO pins are connected to 3.3 V). FLEX 10KE devices with a -1 speed grade also comply with the drive strength requirements of the PCI Local Bus Specification, Revision 2.2 (when VCCINT pins are powered with a minimum supply of 2.375 V, and VCCIO pins are connected to 3.3 V). Therefore, these devices can be used in open 5.0-V PCI systems.
Altera Corporation
51
FLEX 10KE Embedded Programmable Logic Family Data Sheet
Figure 23. Output Drive Characteristics of FLEX 10KE Devices
90 80 70 60
IOL
90 80 70 60
IOL
Typical IO Output Current (mA)
50 40 30 20 10
VCCINT = 2.5 V VCCIO = 2.5 V Room Temperature
Typical IO Output Current (mA)
50 40 30
VCCINT = 2.5 V VCCIO = 3.3 V Room Temperature
IOH
IOH
20 10
1
2
3
1
2
3
VO Output Voltage (V)
VO Output Voltage (V)
Timing Model
The continuous, high-performance FastTrack Interconnect routing resources ensure predictable performance and accurate simulation and timing analysis. This predictable performance contrasts with that of FPGAs, which use a segmented connection scheme and therefore have unpredictable performance. Device performance can be estimated by following the signal path from a source, through the interconnect, to the destination. For example, the registered performance between two LEs on the same row can be calculated by adding the following parameters:
s s s s
LE register clock-to-output delay (tCO) Interconnect delay (tSAMEROW) LE look-up table delay (tLUT) LE register setup time (tSU)
The routing delay depends on the placement of the source and destination LEs. A more complex registered path may involve multiple combinatorial LEs between the source and destination LEs. Timing simulation and delay prediction are available with the MAX+PLUS II Simulator and Timing Analyzer, or with industrystandard EDA tools. The Simulator offers both pre-synthesis functional simulation to evaluate logic design accuracy and post-synthesis timing simulation with 0.1-ns resolution. The Timing Analyzer provides pointto-point timing delay information, setup and hold time analysis, and device-wide performance analysis.
52
Altera Corporation
FLEX 10KE Embedded Programmable Logic Family Data Sheet
Figure 24 shows the overall timing model, which maps the possible paths to and from the various elements of the FLEX 10KE device. Figure 24. FLEX 10KE Device Timing Model
Dedicated Clock/Input Interconnect I/O Element
Logic Element
Embedded Array Block
Figures 25 through 28 show the delays that correspond to various paths and functions within the LE, IOE, EAB, and bidirectional timing models. Figure 25. FLEX 10KE Device LE Timing Model
Carry-In Cascade-In
LUT Delay Data-In
Register Delays
tLUT tRLUT tCLUT
Packed Register Delay tPACKED Register Control Delay
t CO tCOMB t SU tH tPRE tCLR
Data-Out
Control-In
tC tEN
Carry Chain Delay tCGENR
tCGEN tCICO tLABCARRY
tCASC
tLABCASC
Carry-Out
Cascade-Out
Altera Corporation
53
FLEX 10KE Embedded Programmable Logic Family Data Sheet
Figure 26. FLEX 10KE Device IOE Timing Model
Output Data Delay Data-In I/O Register Delays Output Delays
tIOD
I/O Element Contol Delay Clock Enable Clear Clock Output Enable
tIOCO tIOCOMB tIOSU tIOH tIOCLR
tIOC tINREG
Input Register Delay I/O Register Feedback Delay
tOD1 tOD2 tOD3 tXZ tZX1 tZX2 tZX3
Data Feedback into FastTrack Interconnect
tIOFD
Input Delay
tINCOMB
Figure 27. FLEX 10KE Device EAB Timing Model
EAB Data Input Delays Data-In Address Input Register Delays RAM/ROM Block Delays Output Register Delays EAB Output Delay
tEABDATA1 tEABDATA2
Write Enable Input Delays
WE
tEABWE1 tEABWE2
EAB Clock Delay
tEABCO tEABBYPASS tEABSU tEABH tEABCH tEABCL
Input Register Clock Output Register Clock
tAA tDD tWP tWDSU tWDH tWASU tWAH tWO tRP tRASU tRAH
tEABCO tEABBYPASS tEABSU tEABH tEABCH tEABCL
tEABOUT
Data-Out
tEABCLK
Read Enable Input Delays RE
tEABRE1 tEABRE2
54
Altera Corporation
FLEX 10KE Embedded Programmable Logic Family Data Sheet
Figure 28. Synchronous Bidirectional Pin External Timing Model
OE Register D PRN Q
Dedicated Clock
tXZBIDIR tZXBIDIR tOUTCOBIDIR
CLRN Output Register D PRN Q Bidirectional Pin
CLRN
tINSUBIDIR tINHBIDIR
Input Register PRN D Q
CLRN
Tables 24 through 28 describe the FLEX 10KE device internal timing parameters. Tables 29 through 30 describe the FLEX 10KE external timing parameters and their symbols. Detailed timing information for these devices will be released as it is available. Table 24. LE Timing Microparameters (Part 1 of 2) Symbol
tLUT tCLUT tRLUT tPACKED tEN tCICO tCGEN tCGENR tCASC tC tCO tCOMB tSU tH tPRE LUT delay for data-in LUT delay for carry-in LUT delay for LE register feedback Data-in to packed register delay LE register enable delay Carry-in to carry-out delay Data-in to carry-out delay LE register feedback to carry-out delay Cascade-in to cascade-out delay LE register control signal delay LE register clock-to-output delay Combinatorial delay LE register setup time for data and enable signals before clock; LE register recovery time after asynchronous clear, preset, or load LE register hold time for data and enable signals after clock LE register preset delay
Note (1) Condition
Parameter
Altera Corporation
55
FLEX 10KE Embedded Programmable Logic Family Data Sheet
Table 24. LE Timing Microparameters (Part 2 of 2) Symbol
tCLR tCH tCL LE register clear delay Minimum clock high time from clock pin Minimum clock low time from clock pin
Note (1) Condition
Parameter
Table 25. IOE Timing Microparameters Symbol
tIOD tIOC tIOCO tIOCOMB tIOSU tIOH tIOCLR tOD1 tOD2 tOD3 tXZ tZX1 tZX2 tZX3 tINREG tIOFD tINCOMB IOE data delay
Note (1) Parameter Conditions
IOE register control signal delay IOE register clock-to-output delay IOE combinatorial delay IOE register setup time for data and enable signals before clock; IOE register recovery time after asynchronous clear IOE register hold time for data and enable signals after clock IOE register clear time Output buffer and pad delay, slow slew rate = off, VCCIO = 3.3 V Output buffer and pad delay, slow slew rate = off, VCCIO = 2.5 V Output buffer and pad delay, slow slew rate = on IOE output buffer disable delay IOE output buffer enable delay, slow slew rate = off, VCCIO = 2.5 V IOE output buffer enable delay, slow slew rate = off, VCCIO = 3.5 V IOE output buffer enable delay, slow slew rate = on IOE input pad and buffer to IOE register delay IOE register feedback delay IOE input pad and buffer to FastTrack Interconnect delay C1 = 35 pF (2) C1 = 35 pF (3) C1 = 35 pF (4) C1 = 35 pF (2) C1 = 35 pF (3) C1 = 35 pF (4)
56
Altera Corporation
FLEX 10KE Embedded Programmable Logic Family Data Sheet
Table 26. EAB Timing Microparameters Symbol
tEABDATA1 tEABDATA2 tEABWE1 tEABWE2 tEABRE1 tEABRE2 tEABCLK tEABCO tEABBYPASS tEABSU tEABH tEABCLR tEABCH tEABCL tAA tWP tRP tWDSU tWDH tWASU tWAH tRASU tRAH tWO tDD tEABOUT
Note (1) Parameter Conditions
Data or address delay to EAB for combinatorial input Data or address delay to EAB for registered input Write enable delay to EAB for combinatorial input Write enable delay to EAB for registered input Read enable delay to EAB for combinatorial input Read enable delay to EAB for registered input EAB register clock delay EAB register clock-to-output delay Bypass register delay EAB register setup time before clock EAB register hold time after clock EAB register asynchronous clear time to output delay Clock high time Clock low time Address access delay (including the read enable to output delay) Write pulse width Read pulse width Data setup time before falling edge of write pulse Data hold time after falling edge of write pulse Address setup time before rising edge of write pulse Address hold time after falling edge of write pulse Address setup time with respect to the falling edge of the read enable Address hold time with respect to the falling edge of the read enable Write enable to data output valid delay Data-in to data-out valid delay Data-out delay (5) (5) (5) (5)
Altera Corporation
57
FLEX 10KE Embedded Programmable Logic Family Data Sheet
Table 27. EAB Timing Macroparameters Symbol
tEABAA tEABRCCOMB tEABRCREG tEABWP tEABWCCOMB tEABWCREG tEABDD tEABDATACO tEABDATASU tEABDATAH tEABWESU tEABWESH tEABWDSU tEABWDH tEABWASU tEABWAH tEABWO
Note (1), (6) Parameter Conditions
EAB address access delay EAB asynchronous read cycle time EAB synchronous read cycle time EAB write pulse width EAB asynchronous write cycle time EAB synchronous write cycle time EAB data-in to data-out valid delay EAB clock-to-output delay when using output registers EAB data/address setup time before clock when using input register EAB data/address hold time after clock when using input register EAB WE setup time before clock when using input register EAB WE hold time after clock when using input register EAB data setup time before falling edge of write pulse when not using input registers EAB data hold time after falling edge of write pulse when not using input registers EAB address setup time before rising edge of write pulse when not using input registers EAB address hold time after falling edge of write pulse when not using input registers EAB write enable to data output valid delay
58
Altera Corporation
FLEX 10KE Embedded Programmable Logic Family Data Sheet
Table 28. Interconnect Timing Microparameters Symbol
tSAMELAB tSAMEROW tSAMECOLUMN tDIFFROW tTWOROWS tLEPERIPH tLABCARRY tLABCASC tDIN2IOE tDIN2LE tDCLK2IOE tDCLK2LE tDIN2DATA
Note (1) Conditions
Parameter
Routing delay for an LE driving another LE in the same LAB Routing delay for a row IOE, LE, or EAB driving a row IOE, LE, or EAB in the (7) same row Routing delay for an LE driving an IOE in the same column Routing delay for a column IOE, LE, or EAB driving an LE or EAB in a different row (7) (7)
Routing delay for a row IOE or EAB driving an LE or EAB in a different row (7) Routing delay for an LE driving a control signal of an IOE via the peripheral (7) control bus Routing delay for the carry-out signal of an LE driving the carry-in signal of a different LE in a different LAB Routing delay for the cascade-out signal of an LE driving the cascade-in signal of a different LE in a different LAB Delay from dedicated input pin to IOE control input Delay from dedicated input pin to LE or EAB control input Delay from dedicated clock pin to IOE clock Delay from dedicated clock pin to LE or EAB clock Delay from dedicated input or clock to LE or EAB data (7) (7) (7) (7) (7)
Table 29. External Timing Parameters Symbol
tDRR tINSU tINH tOUTCO tPCISU tPCIH tPCICO
Note (8) Parameter Conditions
(9)
Register-to-register delay via four LEs, three row interconnects, and four local interconnects Setup time with global clock at IOE register Hold time with global clock at IOE register Clock-to-output delay with global clock at IOE register Setup time with global clock for registers used in PCI designs Hold time with global clock for registers used in PCI designs Clock-to-output delay with global clock for registers used in PCI designs
(10) (10) (10)
Altera Corporation
59
FLEX 10KE Embedded Programmable Logic Family Data Sheet
Table 30. External Bidirectional Timing Parameters Symbol
tINSUBIDIR tINHBIDIR tINH tOUTCOBIDIR tXZBIDIR tZXBIDIR Notes to tables:
(1)
Note (8) Conditions
(9)
Parameter
Setup time for bi-directional pins with global clock at same-row or samecolumn LE register Hold time for bidirectional pins with global clock at same-row or samecolumn LE register Hold time with global clock at IOE register Clock-to-output delay for bidirectional pins with global clock at IOE register Synchronous IOE output buffer disable delay Synchronous IOE output buffer enable delay, slow slew rate= off
C1= 35 pF C1= 35 pF C1= 35 pF
Microparameters are timing delays contributed by individual architectural elements. These parameters cannot be measured explicitly. (2) Operating conditions: VCCIO = 3.3 V 10 % for commercial or industrial use. (3) Operating conditions: VCCIO = 2.5 V 5 % for commercial or industrial use in EPF10K30E, EPF10K50S, EPF10K100E, EPF10K130E, and EPF10K200S devices. (4) Operating conditions: VCCIO = 3.3 V. (5) Because the RAM in the EAB is self-timed, this parameter can be ignored when the WE signal is registered. (6) EAB macroparameters are internal parameters that can simplify predicting the behavior of an EAB at its boundary; these parameters are calculated by summing selected microparameters. (7) These parameters are worst-case values for typical applications. Post-compilation timing simulation and timing analysis are required to determine actual worst-case performance. (8) These timing parameters are sample-tested only. (9) Contact Altera Applications for test circuit specifications and test conditions. (10) This parameter is measured with the measurement and test conditions, including load, specified in the PCI Local Bus Specification, revision 2.2.
Tables 31 through 37 show EPF10K30E device internal and external timing parameters. Table 31. EPF10K30E Device LE Timing Microparameters (Part 1 of 2) Symbol -1 Min
tLUT tCLUT tRLUT tPACKED tEN tCICO tCGEN tCGENR 60
Note (1) Unit -3
Speed Grade -2 Max
0.7 0.5 0.6 0.3 0.6 0.1 0.4 0.1
Min
Max
0.8 0.6 0.7 0.4 0.8 0.1 0.5 0.1
Min
Max
1.1 0.8 1.0 0.5 1.0 0.2 0.7 0.2 ns ns ns ns ns ns ns ns
Altera Corporation
FLEX 10KE Embedded Programmable Logic Family Data Sheet
Table 31. EPF10K30E Device LE Timing Microparameters (Part 2 of 2) Symbol -1 Min
tCASC tC tCO tCOMB tSU tH tPRE tCLR tCH tCL 2.0 2.0 0.4 0.7 0.8 0.8 2.5 2.5
Note (1) Unit -3
Speed Grade -2 Max
0.6 0.0 0.3 0.4 0.6 1.0 0.9 0.9 2.5 2.5
Min
Max
0.8 0.0 0.4 0.4
Min
Max
1.0 0.0 0.5 0.6 ns ns ns ns ns ns 1.2 1.2 ns ns ns ns
0.6 1.3
Table 32. EPF10K30E Device IOE Timing Microparameters Symbol -1 Min
tIOD tIOC tIOCO tIOCOMB tIOSU tIOH tIOCLR tOD1 tOD2 tOD3 tXZ tZX1 tZX2 tZX3 tINREG tIOFD tINCOMB 1.2 0.3 1.0 1.9 1.4 4.4 2.7 2.7 2.2 5.2 3.4 0.8 0.8
Note (1) Unit -3
Speed Grade -2 Max
2.4 0.3 1.0 0.0 1.4 0.4 1.1 2.3 1.8 5.2 3.1 3.1 2.6 6.0 4.1 1.3 1.3
Min
Max
2.8 0.4 1.1 0.0
Min
Max
3.8 0.5 1.6 0.0 ns ns ns ns ns ns 1.6 3.0 2.5 7.0 4.3 4.3 3.8 8.3 5.5 2.4 2.4 ns ns ns ns ns ns ns ns ns ns ns
1.9 0.5
Altera Corporation
61
FLEX 10KE Embedded Programmable Logic Family Data Sheet
Table 33. EPF10K30E Device EAB Internal Microparameters Symbol -1 Min
tEABDATA1 tEABDATA1 tEABWE1 tEABWE2 tEABRE1 tEABRE2 tEABCLK tEABCO tEABBYPASS tEABSU tEABH tEABCLR tAA tWP tRP tWDSU tWDH tWASU tWAH tRASU tRAH tWO tDD tEABOUT tEABCH tEABCL 1.5 2.5 2.5 0.9 0.9 0.1 1.7 1.8 3.1 0.2 2.5 2.5 0.5 2.0 2.9 0.9 0.4 0.3 3.2 2.9 1.1 1.0 0.1 2.0 2.1 3.7 0.2 2.9 2.9 0.6
Note (1) Unit -3
Speed Grade -2 Max
1.7 0.6 1.1 0.4 0.8 0.4 0.0 0.3 0.5 1.0 0.4 0.3 3.8 3.3 1.2 1.1 0.1 2.3 2.4 4.2 0.2 3.3 3.3 0.7 2.3 3.3
Min
Max
2.0 0.7 1.3 0.4 0.9 0.4 0.0 0.3 0.6
Min
Max
2.3 0.8 1.4 0.5 1.0 0.5 0.0 0.4 0.7 ns ns ns ns ns ns ns ns ns ns ns ns 4.4 ns ns ns ns ns ns ns ns ns ns ns ns ns ns
1.2 0.5 0.3
62
Altera Corporation
FLEX 10KE Embedded Programmable Logic Family Data Sheet
Table 34. EPF10K30E Device EAB Internal Timing Macroparameters Symbol -1 Min
tEABAA tEABRCOMB tEABRCREG tEABWP tEABWCOMB tEABWCREG tEABDD tEABDATACO tEABDATASU tEABDATAH tEABWESU tEABWEH tEABWDSU tEABWDH tEABWASU tEABWAH tEABWO 1.5 0.0 1.3 0.0 1.5 0.0 3.0 0.5 5.1 6.4 4.4 2.5 6.0 6.8 5.7 0.8 1.7 0.0 1.4 0.0 1.7 0.0 3.6 0.5 6.0
Note (1) Unit -3
Speed Grade -2 Max
6.4 7.6 5.1 2.9 7.0 7.8 6.7 0.9 2.0 0.0 1.7 0.0 2.0 0.0 4.3 0.4 6.8
Min
Max
7.6
Min
8.8 6.0 3.3 8.0 9.0
Max
8.8 ns ns ns ns ns ns 7.7 1.1 ns ns ns ns ns ns ns ns ns ns ns
Altera Corporation
63
FLEX 10KE Embedded Programmable Logic Family Data Sheet
Table 35. EPF10K30E Device Interconnect Timing Microparameters Symbol -1 Min
tDIN2IOE tDIN2LE tDIN2DATA tDCLK2IOE tDCLK2LE tSAMELAB tSAMEROW tSAMECOLUMN tDIFFROW tTWOROWS tLEPERIPH tLABCARRY tLABCASC
Note (1) Unit -3
Speed Grade -2 Max
1.8 1.5 1.5 2.2 1.5 0.1 2.0 0.7 2.7 4.7 2.7 0.3 0.8
Min
Max
2.4 1.8 1.8 2.6 1.8 0.2 2.4 1.0 3.4 5.8 3.4 0.4 0.8
Min
Max
2.9 2.4 2.2 3.0 2.4 0.3 2.7 0.8 3.5 6.2 3.8 0.5 1.1 ns ns ns ns ns ns ns ns ns ns ns ns ns
Table 36. EPF10K30E External Timing Parameters Symbol -1 Min
tDRR tINSU (3) tINH (3) tOUTCO (3) tINSU (4) tINH (4) tOUTCO (4) tPCISU tPCIH tPCICO 2.1 0.0 2.0 1.1 0.0 0.5 3.0 0.0 2.0 6.0 3.9 4.9
Notes (1), (2) Unit -3 Max
9.5
Speed Grade -2 Max
8.0 2.5 0.0 2.0 1.5 0.0 0.5 4.2 0.0 2.0 7.5 4.9 5.9
Min
Min
3.9 0.0 2.0 - - - - - -
Max
12.5 ns ns ns 7.6 ns ns ns - ns ns ns - ns
64
Altera Corporation
FLEX 10KE Embedded Programmable Logic Family Data Sheet
Table 37. EPF10K30E External Bidirectional Timing Parameters Symbol -1 Min
tINSUBIDIR (3) tINHBIDIR (3) tINSUBIDIR (4) tINHBIDIR (4) tOUTCOBIDIR (3) tXZBIDIR (3) tZXBIDIR (3) tOUTCOBIDIR (4) tXZBIDIR (4) tZXBIDIR (4) Notes to tables:
(1) (2) (3) (4)
Notes (1), (2) Unit -3
Speed Grade -2 Max Min
3.9 0.0 4.9 0.0 4.9 6.1 6.1 0.5 3.9 5.1 5.1 0.5 2.0 5.9 7.5 7.5 4.9 6.5 6.5 -
Max
Min
5.2 0.0 - 0.0 2.0
Max
ns ns ns ns 7.6 9.7 9.7 - ns ns ns ns ns ns
2.8 0.0 3.8 0.0 2.0
All timing parameters are described in Tables 24 through 30 in this data sheet. These parameters are specified by characterization. This parameter is measured without the use of the ClockLock or ClockBoost circuits. This parameter is measured with the use of the ClockLock or ClockBoost circuits.
Tables 38 through 44 show EPF10K50E device internal and external timing parameters. Table 38. EPF10K50E Device LE Timing Microparameters (Part 1 of 2) Symbol -1 Min
tLUT tCLUT tRLUT tPACKED tEN tCICO tCGEN tCGENR tCASC tC
Note (1) Unit -3
Speed Grade -2 Max
0.6 0.5 0.7 0.4 0.6 0.2 0.5 0.2 0.8 0.5
Min
Max
0.9 0.6 0.8 0.5 0.7 0.2 0.5 0.2 1.0 0.6
Min
Max
1.3 0.8 1.1 0.6 0.9 0.3 0.8 0.3 1.4 0.8 ns ns ns ns ns ns ns ns ns ns
Altera Corporation
65
FLEX 10KE Embedded Programmable Logic Family Data Sheet
Table 38. EPF10K50E Device LE Timing Microparameters (Part 2 of 2) Symbol -1 Min
tCO tCOMB tSU tH tPRE tCLR tCH tCL 2.0 2.0 0.7 0.9 0.5 0.5 2.5 2.5
Note (1) Unit -3
Speed Grade -2 Max
0.7 0.5 0.7 1.0 0.6 0.6 3.0 3.0
Min
Max
0.7 0.6
Min
Max
0.9 0.8 ns ns ns ns 0.8 0.8 ns ns ns ns
0.8 1.4
Table 39. EPF10K50E Device IOE Timing Microparameters Symbol -1 Min
tIOD tIOC tIOCO tIOCOMB tIOSU tIOH tIOCLR tOD1 tOD2 tOD3 tXZ tZX1 tZX2 tZX3 tINREG tIOFD tINCOMB 1.0 0.3 0.9 0.8 0.3 3.0 1.4 1.4 0.9 3.6 4.9 2.8 2.8
Note (1) Unit -3
Speed Grade -2 Max
2.2 0.3 1.0 0.0 1.2 0.3 1.0 0.9 0.4 3.5 1.7 1.7 1.2 4.3 5.8 3.3 3.3
Min
Max
2.4 0.3 1.0 0.0
Min
Max
3.3 0.5 1.4 0.2 ns ns ns ns ns ns 1.4 1.2 0.7 3.5 2.3 2.3 1.8 4.6 7.8 4.5 4.5 ns ns ns ns ns ns ns ns ns ns ns
1.7 0.5
66
Altera Corporation
FLEX 10KE Embedded Programmable Logic Family Data Sheet
Table 40. EPF10K50E Device EAB Internal Microparameters Symbol -1 Min
tEABDATA1 tEABDATA1 tEABWE1 tEABWE2 tEABRE1 tEABRE2 tEABCLK tEABCO tEABBYPASS tEABSU tEABH tEABCLR tAA tWP tRP tWDSU tWDH tWASU tWAH tRASU tRAH tWO tDD tEABOUT tEABCH tEABCL 1.5 2.5 2.5 0.9 0.9 0.1 1.7 1.8 3.1 0.2 2.5 2.5 0.5 2.0 2.9 0.9 0.4 0.3 3.2 2.9 1.1 1.0 0.1 2.0 2.1 3.7 0.2 2.9 2.9 0.6
Note (1) Unit -3
Speed Grade -2 Max
1.7 0.6 1.1 0.4 0.8 0.4 0.0 0.3 0.5 1.0 0.4 0.3 3.8 3.9 1.5 1.4 0.2 2.7 2.9 5.0 0.3 3.9 3.9 0.8 2.5 3.9
Min
Max
2.0 0.7 1.3 0.4 0.9 0.4 0.0 0.3 0.6
Min
Max
2.7 0.9 1.8 0.6 1.2 0.6 0.0 0.5 0.8 ns ns ns ns ns ns ns ns ns ns ns ns 5.1 ns ns ns ns ns ns ns ns ns ns ns ns ns ns
1.4 0.6 0.5
Altera Corporation
67
FLEX 10KE Embedded Programmable Logic Family Data Sheet
Table 41. EPF10K50E Device EAB Internal Timing Macroparameters Symbol -1 Min
tEABAA tEABRCOMB tEABRCREG tEABWP tEABWCOMB tEABWCREG tEABDD tEABDATACO tEABDATASU tEABDATAH tEABWESU tEABWEH tEABWDSU tEABWDH tEABWASU tEABWAH tEABWO 1.5 0.0 1.3 0.0 1.5 0.0 3.0 0.5 5.1 6.4 4.4 2.5 6.0 6.8 5.7 0.8 1.7 0.0 1.4 0.0 1.7 0.0 3.6 0.5 6.0
Note (1) Unit -3
Speed Grade -2 Max
6.4 7.6 5.1 2.9 7.0 7.8 6.7 0.9 2.3 0.0 2.0 0.0 2.3 0.0 4.8 0.8 8.1
Min
Max
7.6
Min
10.2 7.0 3.9 9.5 10.6
Max
10.2 ns ns ns ns ns ns 9.0 1.3 ns ns ns ns ns ns ns ns ns ns ns
Table 42. EPF10K50E Device Interconnect Timing Microparameters (Part 1 of 2) Symbol -1 Min
tDIN2IOE tDIN2LE tDIN2DATA tDCLK2IOE tDCLK2LE tSAMELAB tSAMEROW tSAMECOLUMN tDIFFROW tTWOROWS
Note (1) Unit
Speed Grade -2 Max
3.5 2.1 2.2 2.9 2.1 0.1 1.1 0.8 1.9 3.0
-3 Max
4.3 2.5 2.4 3.5 2.5 0.1 1.1 1.0 2.1 3.2
Min
Min
Max
5.6 3.4 3.1 4.7 3.4 0.2 1.5 1.3 2.8 4.3 ns ns ns ns ns ns ns ns ns ns
68
Altera Corporation
FLEX 10KE Embedded Programmable Logic Family Data Sheet
Table 42. EPF10K50E Device Interconnect Timing Microparameters (Part 2 of 2) Symbol -1 Min
tLEPERIPH tLABCARRY tLABCASC
Note (1) Unit
Speed Grade -2 Max
3.1 0.1 0.3
-3 Max
3.3 0.1 0.3
Min
Min
Max
3.7 0.2 0.5 ns ns ns
Table 43. EPF10K50E External Timing Parameters Symbol -1 Min
tDRR tINSU tINH tOUTCO tPCISU tPCIH tPCICO 2.7 0.0 2.0 3.0 0.0 2.0 6.0 4.5
Notes (1), (2) Unit -3 Max
10.0
Speed Grade -2 Max
8.5 3.2 0.0 2.0 4.2 0.0 2.0 7.7 5.2
Min
Min
4.3 0.0 2.0 -
Max
13.5 ns ns ns 7.3 ns ns ns ns
Table 44. EPF10K50E External Bidirectional Timing Parameters Symbol -1 Min
tINSUBIDIR tINHBIDIR tOUTCOBIDIR tXZBIDIR tZXBIDIR Notes to tables:
(1) (2)
Notes (1), (2) Unit -3
Speed Grade -2 Max Min
3.2 0.0 4.5 6.8 6.8 2.0 5.2 7.8 7.8
Max
Min
4.3 0.0 2.0
Max
ns ns 7.3 10.1 10.1 ns ns ns
2.7 0.0 2.0
All timing parameters are described in Tables 24 through 30 in this data sheet. These parameters are specified by characterization.
Altera Corporation
69
FLEX 10KE Embedded Programmable Logic Family Data Sheet
Tables 45 through 51 show EPF10K100E device internal and external timing parameters. Table 45. EPF10K100E Device LE Timing Microparameters Symbol -1 Min
tLUT tCLUT tRLUT tPACKED tEN tCICO tCGEN tCGENR tCASC tC tCO tCOMB tSU tH tPRE tCLR tCH tCL 1.5 1.5 0.4 0.5 0.8 0.8 2.0 2.0
Note (1) Unit -3
Speed Grade -2 Max
0.7 0.5 0.6 0.3 0.2 0.1 0.4 0.1 0.6 0.8 0.6 0.4 0.6 0.7 1.0 1.0 2.5 2.5
Min
Max
1.0 0.7 0.8 0.4 0.3 0.1 0.5 0.1 0.9 1.0 0.8 0.5
Min
Max
1.5 0.9 1.1 0.5 0.3 0.2 0.7 0.2 1.2 1.4 1.1 0.7 ns ns ns ns ns ns ns ns ns ns ns ns ns ns 1.4 1.4 ns ns ns ns
0.7 0.9
Table 46. EPF10K100E Device IOE Timing Microparameters (Part 1 of 2) Symbol -1 Min
tIOD tIOC tIOCO tIOCOMB tIOSU tIOH 0.8 0.7
Note (1) Unit -3
Speed Grade -2 Max
1.7 0.0 1.4 0.5 1.0 0.9
Min
Max
2.0 0.0 1.6 0.7
Min
Max
2.6 0.0 2.1 0.9 ns ns ns ns ns ns
1.3 1.2
70
Altera Corporation
FLEX 10KE Embedded Programmable Logic Family Data Sheet
Table 46. EPF10K100E Device IOE Timing Microparameters (Part 2 of 2) Symbol -1 Min
tIOCLR tOD1 tOD2 tOD3 tXZ tZX1 tZX2 tZX3 tINREG tIOFD tINCOMB
Note (1) Unit -3
Speed Grade -2 Max
0.5 3.0 3.0 4.0 3.5 3.5 3.5 4.5 2.0 0.5 0.5
Min
Max
0.7 4.2 4.2 5.5 4.6 4.6 4.6 5.9 2.6 0.8 0.8
Min
Max
0.9 5.6 5.6 7.3 6.1 6.1 6.1 7.8 3.5 1.2 1.2 ns ns ns ns ns ns ns ns ns ns ns
Table 47. EPF10K100E Device EAB Internal Microparameters (Part 1 of 2) Symbol -1 Min
tEABDATA1 tEABDATA1 tEABWE1 tEABWE2 tEABRE1 tEABRE2 tEABCLK tEABCO tEABBYPASS tEABSU tEABH tEABCLR tAA tWP tRP tWDSU tWDH Altera Corporation 2.7 1.0 1.0 0.2 0.8 0.1 0.3 4.0 3.5 1.3 1.3 0.2
Note (1) Unit -3
Speed Grade -2 Max
1.5 0.0 1.5 0.3 0.3 0.0 0.0 0.3 0.1 1.0 0.1 0.4 5.1 4.7 1.7 1.7 0.3
Min
Max
2.0 0.0 2.0 0.4 0.4 0.0 0.0 0.4 0.1
Min
Max
2.6 0.0 2.6 0.5 0.5 0.0 0.0 0.5 0.2 ns ns ns ns ns ns ns ns ns ns ns ns 6.6 ns ns ns ns ns 71
1.4 0.2 0.5
FLEX 10KE Embedded Programmable Logic Family Data Sheet
Table 47. EPF10K100E Device EAB Internal Microparameters (Part 2 of 2) Symbol -1 Min
tWASU tWAH tRASU tRAH tWO tDD tEABOUT tEABCH tEABCL 1.5 2.7 1.6 1.6 3.0 0.1 1.5 1.5 0.2 2.0 3.5
Note (1) Unit -3
Speed Grade -2 Max Min
2.1 2.1 3.9 0.1 2.0 2.0 0.3 2.5 4.7
Max
Min
2.8 2.8 5.2 0.2
Max
ns ns ns ns 2.6 2.6 0.3 ns ns ns ns ns
Table 48. EPF10K100E Device EAB Internal Timing Macroparameters Symbol -1 Min
tEABAA tEABRCOMB tEABRCREG tEABWP tEABWCOMB tEABWCREG tEABDD tEABDATACO tEABDATASU tEABDATAH tEABWESU tEABWEH tEABWDSU tEABWDH tEABWASU tEABWAH tEABWO 0.8 0.1 1.1 0.0 1.0 0.2 4.1 0.0 3.4 5.9 5.1 2.7 5.9 5.4 3.4 0.5 1.0 0.1 1.4 0.0 1.3 0.2 5.2 0.0 4.5
Note (1) Unit -3
Speed Grade -2 Max
5.9 7.6 6.5 3.5 7.7 7.0 4.5 0.7 1.4 0.2 1.9 0.0 1.7 0.3 6.8 0.0 5.9
Min
Max
7.6
Min
9.9 8.5 4.7 10.3 9.4
Max
9.9 ns ns ns ns ns ns 5.9 0.8 ns ns ns ns ns ns ns ns ns ns ns
72
Altera Corporation
FLEX 10KE Embedded Programmable Logic Family Data Sheet
Table 49. EPF10K100E Device Interconnect Timing Microparameters Symbol -1 Min
tDIN2IOE tDIN2LE tDIN2DATA tDCLK2IOE tDCLK2LE tSAMELAB tSAMEROW tSAMECOLUMN tDIFFROW tTWOROWS tLEPERIPH tLABCARRY tLABCASC
Note (1) Unit -3
Speed Grade -2 Max
3.1 0.3 1.6 0.8 0.3 0.1 1.5 0.4 1.9 3.4 4.3 0.5 0.8
Min
Max
3.6 0.4 1.8 1.1 0.4 0.1 2.5 1.0 3.5 6.0 5.4 0.7 1.0
Min
Max
4.4 0.5 2.0 1.4 0.5 0.2 3.4 1.6 5.0 8.4 6.5 0.9 1.4 ns ns ns ns ns ns ns ns ns ns ns ns ns
Table 50. EPF10K100E External Timing Parameters Symbol -1 Min
tDRR tINSU (3) tINH (3) tOUTCO (3) tINSU (4) tINH (4) tOUTCO (4) tPCISU tPCIH tPCICO 2.0 0.0 2.0 2.0 0.0 0.5 3.0 0.0 2.0 6.0 3.0 5.2
Notes (1), (2) Unit -3 Max
12.0
Speed Grade -2 Max
9.0 2.5 0.0 2.0 2.2 0.0 0.5 6.2 0.0 2.0 6.9 4.6 6.9
Min
Min
3.3 0.0 2.0 - - - - - -
Max
16.0 ns ns ns 9.1 ns ns ns - ns ns ns - ns
Altera Corporation
73
FLEX 10KE Embedded Programmable Logic Family Data Sheet
Table 51. EPF10K100E External Bidirectional Timing Parameters Symbol -1 Min
tINSUBIDIR (3) tINHBIDIR (3) tINSUBIDIR (4) tINHBIDIR (4) tOUTCOBIDIR (3) tXZBIDIR (3) tZXBIDIR (3) tOUTCOBIDIR (4) tXZBIDIR (4) tZXBIDIR (4) Notes to tables:
(1) (2) (3) (4)
Notes (1), (2) Unit -3
Speed Grade -2 Max Min
2.5 0.0 2.8 0.0 5.2 5.6 5.6 0.5 3.0 4.6 4.6 0.5 2.0 6.9 7.5 7.5 4.6 6.5 6.5 -
Max
Min
3.3 0.0 - - 2.0
Max
ns ns ns ns 9.1 10.1 10.1 - - - ns ns ns ns ns ns
1.7 0.0 2.0 0.0 2.0
All timing parameters are described in Tables 24 through 30 in this data sheet. These parameters are specified by characterization. This parameter is measured without the use of the ClockLock or ClockBoost circuits. This parameter is measured with the use of the ClockLock or ClockBoost circuits.
Tables 52 through 58 show EPF10K130E device internal and external timing parameters. Table 52. EPF10K130E Device LE Timing Microparameters (Part 1 of 2) Symbol -1 Min
tLUT tCLUT tRLUT tPACKED tEN tCICO tCGEN tCGENR tCASC tC
Note (1) Unit -3
Speed Grade -2 Max
0.6 0.6 0.7 0.3 0.2 0.1 0.4 0.1 0.6 0.3
Min
Max
0.9 0.8 0.9 0.5 0.3 0.1 0.6 0.1 0.9 0.5
Min
Max
1.3 1.0 0.2 0.6 0.4 0.2 0.8 0.2 1.2 0.6 ns ns ns ns ns ns ns ns ns ns
74
Altera Corporation
FLEX 10KE Embedded Programmable Logic Family Data Sheet
Table 52. EPF10K130E Device LE Timing Microparameters (Part 2 of 2) Symbol -1 Min
tCO tCOMB tSU tH tPRE tCLR tCH tCL 1.5 1.5 0.5 0.6 0.9 0.9 1.5 1.5
Note (1) Unit -3
Speed Grade -2 Max
0.5 0.3 0.7 0.7 1.2 1.2 2.5 2.5
Min
Max
0.7 0.5
Min
Max
0.8 0.6 ns ns ns ns 1.6 1.6 ns ns ns ns
0.8 1.0
Table 53. EPF10K130E Device IOE Timing Microparameters Symbol -1 Min
tIOD tIOC tIOCO tIOCOMB tIOSU tIOH tIOCLR tOD1 tOD2 tOD3 tXZ tZX1 tZX2 tZX3 tINREG tIOFD tINCOMB 1.0 0.9 0.6 2.8 2.8 4.0 2.8 2.8 2.8 4.0 2.5 0.4 0.4
Note (1) Unit -3
Speed Grade -2 Max
1.3 0.0 0.6 0.6 1.2 0.9 0.8 4.1 4.1 5.6 4.1 4.1 4.1 5.6 3.0 0.5 0.5
Min
Max
1.5 0.0 0.8 0.8
Min
Max
2.0 0.0 1.0 1.0 ns ns ns ns ns ns 1.0 5.5 5.5 7.5 5.5 5.5 5.5 7.5 4.1 0.6 0.6 ns ns ns ns ns ns ns ns ns ns ns
1.6 1.4
Altera Corporation
75
FLEX 10KE Embedded Programmable Logic Family Data Sheet
Table 54. EPF10K130E Device EAB Internal Microparameters Symbol -1 Min
tEABDATA1 tEABDATA2 tEABWE1 tEABWE2 tEABRE1 tEABRE2 tEABCLK tEABCO tEABBYPASS tEABSU tEABH tEABCLR tAA tWP tRP tWDSU tWDH tWASU tWAH tRASU tRAH tWO tDD tEABOUT tEABCH tEABCL 1.5 2.7 2.7 1.0 1.0 0.2 1.6 1.6 3.0 0.1 1.5 1.5 0.2 2.0 3.5 0.8 0.1 0.3 4.0 3.5 1.3 1.3 0.2 2.1 2.1 3.9 0.1 2.0 2.0 0.3
Note (1) Unit -3
Speed Grade -2 Max
1.5 0.0 1.5 0.3 0.3 0.0 0.0 0.3 0.1 1.0 0.2 0.4 5.0 4.7 1.7 1.7 0.3 2.8 2.8 5.2 0.2 2.6 2.6 0.3 2.5 4.7
Min
Max
2.0 0.0 2.0 0.4 0.4 0.0 0.0 0.4 0.1
Min
Max
2.6 0.0 2.6 0.5 0.5 0.0 0.0 0.5 0.2 ns ns ns ns ns ns ns ns ns ns ns ns 6.6 ns ns ns ns ns ns ns ns ns ns ns ns ns ns
1.4 0.2 0.5
76
Altera Corporation
FLEX 10KE Embedded Programmable Logic Family Data Sheet
Table 55. EPF10K130E Device EAB Internal Timing Macroparameters Symbol -1 Min
tEABAA tEABRCOMB tEABRCREG tEABWP tEABWCOMB tEABWCREG tEABDD tEABDATACO tEABDATASU tEABDATAH tEABWESU tEABWEH tEABWDSU tEABWDH tEABWASU tEABWAH tEABWO 0.8 0.1 1.1 0.0 1.0 0.2 4.1 0.0 3.4 5.9 5.1 2.7 5.9 5.4 3.4 0.5 1.0 0.1 1.4 0.0 1.3 0.2 5.1 0.0 4.5
Note (1) Unit -3
Speed Grade -2 Max
5.9 7.5 6.4 3.5 7.7 7.0 4.5 0.7 1.4 0.2 1.9 0.0 1.7 0.3 6.8 0.0 5.9
Min
Max
7.5
Min
9.9 8.5 4.7 10.3 9.4
Max
9.9 ns ns ns ns ns ns 5.9 0.8 ns ns ns ns ns ns ns ns ns ns ns
Table 56. EPF10K130E Device Interconnect Timing Microparameters (Part 1 of 2) Symbol -1 Min
tDIN2IOE tDIN2LE tDIN2DATA tDCLK2IOE tDCLK2LE tSAMELAB tSAMEROW tSAMECOLUMN tDIFFROW tTWOROWS
Note (1) Unit
Speed Grade -2 Max
2.8 0.7 1.6 1.6 0.7 0.1 1.9 0.9 2.8 4.7
-3 Max
3.5 1.2 1.9 2.1 1.2 0.2 3.4 2.6 6.0 9.4
Min
Min
Max
4.4 1.6 2.2 2.7 1.6 0.2 5.1 4.4 9.5 14.6 ns ns ns ns ns ns ns ns ns ns
Altera Corporation
77
FLEX 10KE Embedded Programmable Logic Family Data Sheet
Table 56. EPF10K130E Device Interconnect Timing Microparameters (Part 2 of 2) Symbol -1 Min
tLEPERIPH tLABCARRY tLABCASC
Note (1) Unit
Speed Grade -2 Max
3.1 0.6 0.9
-3 Max
4.7 0.8 1.2
Min
Min
Max
6.9 1.0 1.6 ns ns ns
Table 57. EPF10K130E External Timing Parameters Symbol -1 Min
tDRR tINSU (3) tINH (3) tOUTCO (3) tINSU (4) tINH (4) tOUTCO (4) tPCISU tPCIH tPCICO 1.9 0.0 2.0 0.9 0.0 0.5 3.0 0.0 2.0 6.0 4.0 5.0
Notes (1), (2) Unit -3 Max
12.0
Speed Grade -2 Max
9.0 2.1 0.0 2.0 1.1 0.0 0.5 6.2 0.0 2.0 6.9 6.0 7.0
Min
Min
3.0 0.0 2.0 - - - - - -
Max
16.0 ns ns ns 9.2 ns ns ns - ns ns ns - ns
Table 58. EPF10K130E External Bidirectional Timing Parameters (Part 1 of 2) Symbol -1 Min
tINSUBIDIR (3) tINHBIDIR (3) tINSUBIDIR (4) tINHBIDIR (4) tOUTCOBIDIR (3) tZXBIDIR (3) tXZBIDIR (3) 2.2 0.0 2.8 0.0 2.0 5.0 5.6 5.6
Notes (1), (2) Unit
Speed Grade -2 Max Min
2.4 0.0 3.0 0.0 2.0 7.0 8.1 8.1
-3 Max Min
3.2 0.0 - - 2.0 9.2 10.8 10.8
Max
ns ns ns ns ns ns ns
78
Altera Corporation
FLEX 10KE Embedded Programmable Logic Family Data Sheet
Table 58. EPF10K130E External Bidirectional Timing Parameters (Part 2 of 2) Symbol -1 Min
tOUTCOBIDIR (4) tXZBIDIR (4) tZXBIDIR (4) Notes to tables:
(1) (2) (3) (4) All timing parameters are described in Tables 24 through 30 in this data sheet. These parameters are specified by characterization. This parameter is measured without the use of the ClockLock or ClockBoost circuits. This parameter is measured with the use of the ClockLock or ClockBoost circuits.
Notes (1), (2) Unit
Speed Grade -2 Max
4.0 4.6 4.6
-3 Max
6.0 7.1 7.1
Min
0.5
Min
-
Max
- - - ns ns ns
0.5
Tables 59 through 65 show EPF10K200E device internal and external timing parameters. Table 59. EPF10K200E Device LE Timing Microparameters Symbol -1 Min
tLUT tCLUT tRLUT tPACKED tEN tCICO tCGEN tCGENR tCASC tC tCO tCOMB tSU tH tPRE tCLR tCH tCL Altera Corporation 2.0 2.0 0.4 0.9 0.5 0.5 2.5 2.5
Note (1) Unit -3
Speed Grade -2 Max
0.7 0.4 0.6 0.3 0.4 0.2 0.4 0.2 0.7 0.5 0.5 0.4 0.6 1.1 0.6 0.6 3.0 3.0
Min
Max
0.8 0.5 0.7 0.5 0.5 0.2 0.4 0.2 0.8 0.6 0.6 0.6
Min
Max
1.2 0.6 0.9 0.7 0.6 0.3 0.6 0.3 1.2 0.8 0.8 0.8 ns ns ns ns ns ns ns ns ns ns ns ns ns ns 0.8 0.8 ns ns ns ns 79
0.7 1.5
FLEX 10KE Embedded Programmable Logic Family Data Sheet
Table 60. EPF10K200E Device IOE Timing Microparameters Symbol -1 Min
tIOD tIOC tIOCO tIOCOMB tIOSU tIOH tIOCLR tOD1 tOD2 tOD3 tXZ tZX1 tZX2 tZX3 tINREG tIOFD tINCOMB 0.8 0.7 0.2 0.6 0.1 2.5 4.4 4.4 3.9 6.3 4.8 1.5 1.5
Note (1) Unit -3
Speed Grade -2 Max
1.6 0.3 1.6 0.5 0.9 0.8 0.2 0.7 0.2 3.0 5.3 5.3 4.8 7.6 5.7 1.8 1.8
Min
Max
1.9 0.3 1.9 0.6
Min
Max
2.6 0.5 2.6 0.8 ns ns ns ns ns ns 0.3 0.9 0.7 3.9 7.1 7.1 6.9 10.1 7.7 2.4 2.4 ns ns ns ns ns ns ns ns ns ns ns
1.2 1.1
Table 61. EPF10K200E Device EAB Internal Microparameters (Part 1 of 2) Symbol -1 Min
tEABDATA1 tEABDATA1 tEABWE1 tEABWE2 tEABRE1 tEABRE2 tEABCLK tEABCO tEABBYPASS tEABSU 0.9
Note (1) Unit -3
Speed Grade -2 Max
2.0 0.4 1.4 0.0 0 0.4 0.0 0.8 0.0 1.1
Min
Max
2.4 0.5 1.7 0.0 0 0.5 0.0 0.9 0.1
Min
Max
3.2 0.6 2.3 0.0 0 0.6 0.0 1.2 0.1 ns ns ns ns ns ns ns ns ns ns
1.5
80
Altera Corporation
FLEX 10KE Embedded Programmable Logic Family Data Sheet
Table 61. EPF10K200E Device EAB Internal Microparameters (Part 2 of 2) Symbol -1 Min
tEABH tEABCLR tAA tWP tRP tWDSU tWDH tWASU tWAH tRASU tRAH tWO tDD tEABOUT tEABCH tEABCL 1.5 3.3 3.3 0.9 0.9 0.1 1.3 2.1 2.2 0.1 2.0 2.0 0.0 2.0 4.0 0.4 0.8 3.1 4.0 1.1 1.1 0.1 1.6 2.5 2.6 0.1 2.4 2.4 0.1 2.5 5.3
Note (1) Unit -3
Speed Grade -2 Max Min
0.5 0.9 3.7 5.3 1.5 1.5 0.1 2.1 3.3 3.5 0.2 3.2 3.2 0.1
Max
Min
0.6 1.2
Max
ns ns 4.9 ns ns ns ns ns ns ns ns ns ns ns ns ns ns
Table 62. EPF10K200E Device EAB Internal Timing Macroparameters (Part 1 of 2) Symbol -1 Min
tEABAA tEABRCOMB tEABRCREG tEABWP tEABWCOMB tEABWCREG tEABDD tEABDATACO tEABDATASU tEABDATAH tEABWESU 1.3 0.0 0.9 5.1 4.8 3.3 6.7 6.6 4.0 0.8 1.6 0.0 1.1
Note (1) Unit
Speed Grade -2 Max
5.1 6.4 5.7 4.0 8.1 8.0 5.1 1.0 2.1 0.0 1.5
-3 Max
6.4 8.4 7.6 5.3 10.7 10.6 6.7 1.3
Min
Min
Max
8.4 ns ns ns ns ns ns ns ns ns ns ns
Altera Corporation
81
FLEX 10KE Embedded Programmable Logic Family Data Sheet
Table 62. EPF10K200E Device EAB Internal Timing Macroparameters (Part 2 of 2) Symbol -1 Min
tEABWEH tEABWDSU tEABWDH tEABWASU tEABWAH tEABWO 0.4 1.5 0.0 3.0 0.4 3.4
Note (1) Unit
Speed Grade -2 Max Min
0.5 1.8 0.0 3.6 0.5 4.4
-3 Max Min
0.6 2.4 0.0 4.7 0.7 5.8
Max
ns ns ns ns ns ns
Table 63. EPF10K200E Device Interconnect Timing Microparameters Symbol -1 Min
tDIN2IOE tDIN2LE tDIN2DATA tDCLK2IOE tDCLK2LE tSAMELAB tSAMEROW tSAMECOLUMN tDIFFROW tTWOROWS tLEPERIPH tLABCARRY tLABCASC
Note (1) Unit -3
Speed Grade -2 Max
4.2 1.7 1.9 2.5 1.7 0.1 2.3 2.5 4.8 7.1 7.0 0.1 0.9
Min
Max
4.6 1.7 2.1 2.9 1.7 0.1 2.6 2.7 5.3 7.9 7.6 0.1 1.0
Min
Max
5.7 2.0 3.0 4.0 2.0 0.2 3.6 4.1 7.7 11.3 9.0 0.2 1.4 ns ns ns ns ns ns ns ns ns ns ns ns ns
82
Altera Corporation
FLEX 10KE Embedded Programmable Logic Family Data Sheet
Table 64. EPF10K200E External Timing Parameters Symbol -1 Min
tDRR tINSU tINH tOUTCO tPCISU tPCIH tPCICO 2.8 0.0 2.0 3.0 0.0 2.0 6.0 4.5
Notes (1), (2) Unit -3 Max
12.0
Speed Grade -2 Max
10.0 3.4 0.0 2.0 6.2 0.0 2.0 8.9 5.3
Min
Min
4.4 0.0 2.0 -
Max
16.0 ns ns ns 7.8 ns ns ns ns
Table 65. EPF10K200E External Bidirectional Timing Parameters Symbol -1 Min
tINSUBIDIR tINHBIDIR tOUTCOBIDIR tXZBIDIR tZXBIDIR Notes to tables:
(1) (2)
Notes (1), (2) Unit -3
Speed Grade -2 Max Min
4.0 0.0 4.5 8.1 8.1 2.0 5.3 9.5 9.5
Max
Min
5.5 0.0 2.0
Max
ns ns 7.8 13.0 13.0 ns ns ns
3.0 0.0 2.0
All timing parameters are described in Tables 24 through 30 in this data sheet. These parameters are specified by characterization.
Altera Corporation
83
FLEX 10KE Embedded Programmable Logic Family Data Sheet
Tables 66 through 73 show EPF10K100B device internal and external timing parameters. Table 66. EPF10K100B Device LE Timing Microparameters Symbol -1 Min
tLUT tCLUT tRLUT tPACKED tEN tCICO tCGEN tCGENR tCASC tC tCO tCOMB tSU tH tPRE tCLR tCH tCL 2.0 2.0 0.5 1.5 0.6 0.6 2.5 2.5
Note (1) Unit -3
Speed Grade -2 Max
1.7 0.8 1.3 0.6 0.5 0.2 0.5 0.5 0.6 1.0 0.6 0.5 0.6 1.6 0.7 0.7 3.0 3.0
Min
Max
1.9 0.9 1.4 0.7 0.5 0.2 0.5 0.5 0.6 1.1 0.6 0.6
Min
Max
2.1 0.9 1.6 0.8 0.7 0.3 0.7 0.7 0.8 1.1 0.8 0.8 ns ns ns ns ns ns ns ns ns ns ns ns ns ns 0.8 0.8 ns ns ns ns
0.8 2.0
Table 67. EPF10K100B Device IOE Timing Microparameters (Part 1 of 2) Symbol -1 Min
tIOD tIOC tIOCO tIOCOMB tIOSU tIOH 1.2 1.2
Note (1) Unit -3
Speed Grade -2 Max
1.5 0.3 0.2 0.5 1.3 1.3
Min
Max
1.6 0.3 0.2 0.5
Min
Max
1.7 0.4 0.3 0.7 ns ns ns ns ns ns
1.6 1.6
84
Altera Corporation
FLEX 10KE Embedded Programmable Logic Family Data Sheet
Table 67. EPF10K100B Device IOE Timing Microparameters (Part 2 of 2) Symbol -1 Min
tIOCLR tOD1 tOD2 tOD3 tXZ tZX1 tZX2 tZX3 tINREG tIOFD tINCOMB
Note (1) Unit -3
Speed Grade -2 Max
0.0 2.3 3.1 4.3 4.5 4.5 3.1 6.5 6.0 2.8 2.8
Min
Max
0.0 2.5 3.4 4.7 4.9 4.9 3.9 7.1 6.5 3.1 3.1
Min
Max
0.0 2.5 3.6 5.2 5.9 5.9 5.4 8.6 7.9 3.7 3.7 ns ns ns ns ns ns ns ns ns ns ns
Table 68. EPF10K100B Device EAB Internal Microparameters (Part 1 of 2) Symbol -1 Min
tEABDATA1 tEABDATA2 tEABWE1 tEABWE2 tEABCLK tEABCO tEABBYPASS tEABSU tEABH tAA tWP tWDSU tWDH tWASU tWAH tWO 3.4 0.1 1.5 1.6 0.9 2.8 1.5 0.1 3.8 3.7 0.1 1.6 1.7 1.0 3.1
Note (1) Unit -3
Speed Grade -2 Max
3.2 0.6 1.3 1.1 0.0 1.0 0.0 1.6 0.1 4.1 4.5 0.1 1.7 2.1 1.2 3.6
Min
Max
3.5 0.7 1.4 1.2 0.0 1.1 0.0
Min
Max
4.2 0.7 1.7 1.5 0.0 1.3 0.0 ns ns ns ns ns ns ns ns ns 5.0 ns ns ns ns ns ns ns
2.0 0.1
Altera Corporation
85
FLEX 10KE Embedded Programmable Logic Family Data Sheet
Table 68. EPF10K100B Device EAB Internal Microparameters (Part 2 of 2) Symbol -1 Min
tDD tEABOUT tEABCH tEABCL 2.0 3.4
Note (1) Unit -3
Speed Grade -2 Max
2.8 0.5 2.5 3.7
Min
Max
3.1 0.5
Min
Max
3.6 0.7 ns ns ns ns
3.0 4.5
Table 69. EPF10K100B Device EAB Internal Timing Macroparameters Symbol -1 Min
tEABAA tEABRCCOMB tEABRCREG tEABWP tEABWCCOMB tEABWCREG tEABDD tEABDATACO tEABDATASU tEABDATAH tEABWESU tEABWEH tEABWDSU tEABWDH tEABWASU tEABWAH tEABWO 2.1 0.0 2.6 0.0 2.0 0.0 4.5 0.0 4.6 7.5 5.3 3.4 5.9 8.6 6.5 1.5 2.3 0.0 2.8 0.0 2.2 0.0 4.8 0.0 5.0
Note (1) Unit -3
Speed Grade -2 Max
7.5 8.1 5.7 3.7 6.4 9.4 7.1 1.6 2.7 0.0 3.5 0.0 2.6 0.0 6.0 0.0 6.0
Min
Max
8.1
Min
9.9 7.0 4.5 7.8 11.2
Max
9.9 ns ns ns ns ns ns 8.5 2.0 ns ns ns ns ns ns ns ns ns ns ns
86
Altera Corporation
FLEX 10KE Embedded Programmable Logic Family Data Sheet
Table 70. EPF10K100B Device Interconnect Timing Microparameters Symbol -1 Min
tDIN2IOE tDIN2LE tDIN2DATA tDCLK2IOE tDCLK2LE tSAMELAB tSAMEROW tSAMECOLUMN tDIFFROW tTWOROWS tLEPERIPH tLABCARRY tLABCASC
Note (1) Unit -3
Speed Grade -2 Max
4.8 1.1 1.5 3.4 1.1 0.3 2.0 1.7 3.7 5.7 4.8 0.0 0.4
Min
Max
4.9 1.2 1.4 3.7 1.2 0.3 2.4 2.1 4.5 6.9 4.8 0.0 0.4
Min
Max
5.5 1.7 1.9 4.5 1.7 0.4 3.3 2.9 6.2 9.5 5.2 0.0 0.5 ns ns ns ns ns ns ns ns ns ns ns ns ns
Table 71. EPF10K100B External Timing Parameters Symbol -1 Min
tDRR tINSU tINH tOUTCO 3.5 0.0 2.0 4.8
Note (1) Unit -3 Max
12.0
Speed Grade -2 Max
11.0 3.8 0.0 2.0 5.7
Min
Min
4.6 0.0 2.0
Max
14.5 ns ns ns 7.2 ns
Altera Corporation
87
FLEX 10KE Embedded Programmable Logic Family Data Sheet
Table 72. EPF10K100B External Bidirectional Timing Parameters Symbol -1 Min
tINSUBIDIR tINHBIDIR tOUTCOBIDIR tXZBIDIR tZXBIDIR Notes to tables:
(1) (2)
Notes (1), (2) Unit -3
Speed Grade -2 Max Min
4.5 0.0 4.8 7.1 7.1 2.0 5.7 8.2 8.2
Max
Min
5.5 0.0 2.0
Max
ns ns 7.2 10.6 10.6 ns ns ns
4.1 0.0 2.0
All timing parameters are described in Tables 24 through 30 in this data sheet. These parameters are specified by characterization.
Tables 73 through 81 show EPF10K50S and EPF10K200S device external timing parameters. Table 73. EPF10K50S External Timing Parameters Symbol -1 Min
tDRR tINSU (3) tINH (3) tOUTCO (3) tINSU (4) tINH (4) tOUTCO (4) tPCISU tPCIH tPCICO 2.7 0.0 2.0 1.7 0.0 0.5 3.0 0.0 2.0 6.0 3.5 4.5
Notes (1), (2), (3) Unit -3 Max
9.5
Speed Grade -2 Max
8.0 3.2 0.0 2.0 2.2 0.0 0.5 4.2 0.0 2.0 7.7 4.2 5.2
Min
Min
4.3 0.0 2.0 - - - - - -
Max
12.5 ns ns ns 7.3 ns ns ns - ns ns ns - ns
88
Altera Corporation
FLEX 10KE Embedded Programmable Logic Family Data Sheet
Table 74. EPF10K50S External Bidirectional Timing Parameters Symbol -1 Min
tINSUBIDIR (4) tINHBIDIR (4) tOUTCOBIDIR (4) tXZBIDIR (4) tZXBIDIR (4) tINSUBIDIR (5) tINHBIDIR (5) tOUTCOBIDIR (5) tXZBIDIR (5) tZXBIDIR (5) Notes to tables:
(1) (2) (3) (4) (5)
Notes (1), (2), (3) Unit -3
Speed Grade -2 Max Min
3.2 0.0 4.5 6.7 6.7 3.8 0.0 0.5 0.0 3.5 5.7 5.7 4.2 0.0 0.5 0.0 4.2 6.7 6.7 2.0 5.2 7.7 7.7 - - - - - -
Max
Min
4.2 0.0 2.0
Max
ns ns 7.3 10.0 10.0 ns ns ns ns ns ns ns
2.8 0.0 2.0
All timing parameters are described in Tables 24 through 30 enthuse data sheet. These parameters are specified by characterization. EPF10K50S timing values are preliminary. This parameter is measured without use of the ClockLock or ClockBoost circuits. This parameter is measured with use of the ClockLock or ClockBoost circuits.
Table 75. EPF10K200S Device Internal & External Timing Parameters (Part 1 of 2) Symbol -1 Min
tLUT tCLUT tRLUT tPACKED tEN tCICO tCGEN tCGENR tCASC tC tCO tCOMB
Notes (1), (2) Unit
Speed Grade -2 Max
0.6 0.4 0.6 0.3 0.4 0.2 0.4 0.2 0.7 0.5 0.5 0.3
-3 Max
0.8 0.5 0.7 0.5 0.5 0.2 0.4 0.2 0.8 0.6 0.6 0.6
Min
Min
Max
1.2 0.6 0.9 0.5 0.6 0.3 0.6 0.3 1.2 0.8 0.8 0.8 ns ns ns ns ns ns ns ns ns ns ns ns
Altera Corporation
89
FLEX 10KE Embedded Programmable Logic Family Data Sheet
Table 75. EPF10K200S Device Internal & External Timing Parameters (Part 2 of 2) Symbol -1 Min
tSU tH tPRE tCLR tCH tCL 2.0 2.0 0.4 0.9 0.4 0.5 2.5 2.5
Notes (1), (2) Unit
Speed Grade -2 Max Min
0.6 1.1 0.6 0.6 3.0 3.0
-3 Max Min
0.7 1.5 0.8 0.8
Max
ns ns ns ns ns ns
Table 76. EPF10K200S Device IOE Timing Microparameters Symbol -1 Min
tIOD tIOC tIOCO tIOCOMB tIOSU tIOH tIOCLR tOD1 tOD2 tOD3 tXZ tZX1 tZX2 tZX3 tINREG tIOFD tINCOMB 0.8 0.7 0.2 0.6 0.1 2.5 4.4 4.4 3.9 6.3 4.3 0.5 0.5
Notes (1), (2) Unit -3
Speed Grade -2 Max
2.7 0.3 1.6 0.5 0.9 0.8 0.2 0.7 0.2 3.0 4.5 4.5 4.0 6.8 5.1 0.7 0.7
Min
Max
3.3 0.3 1.9 0.6
Min
Max
4.4 0.5 2.6 0.8 ns ns ns ns ns ns 0.3 0.9 0.4 3.9 4.7 4.7 4.2 7.7 6.8 0.8 0.8 ns ns ns ns ns ns ns ns ns ns ns
1.2 1.1
90
Altera Corporation
FLEX 10KE Embedded Programmable Logic Family Data Sheet
Table 77. EPF10K200S Device EAB Internal Microparameters Symbol -1 Min
tEABDATA1 tEABDATA1 tEABWE1 tEABWE2 tEABRE1 tEABRE2 tEABCLK tEABCO tEABBYPASS tEABSU tEABH tEABCLR tAA tWP tRP tWDSU tWDH tWASU tWAH tRASU tRAH tWO tDD tEABOUT tEABCH tEABCL 1.5 2.1 3.3 0.9 0.9 0.1 1.3 2.1 2.2 0.1 2.0 2.0 0.0 2.0 2.8 0.9 0.4 0.8 3.1 4.0 1.1 1.1 0.1 1.6 2.5 2.6 0.1 2.4 2.4 0.1
Notes (1), (2) Unit -3
Speed Grade -2 Max
2.0 0.4 1.4 0.0 0.0 0.4 0.0 1.8 0.0 1.1 0.5 0.9 3.7 5.3 1.5 1.5 0.1 2.1 3.3 3.5 0.2 3.2 3.2 0.1 2.5 3.8
Min
Max
2.4 0.5 1.7 0.0 0.0 0.5 0.0 0.9 0.1
Min
Max
3.2 0.6 2.3 0.0 0.0 0.6 0.0 1.2 0.1 ns ns ns ns ns ns ns ns ns ns ns ns 4.9 ns ns ns ns ns ns ns ns ns ns ns ns ns ns
1.5 0.6 1.2
Altera Corporation
91
FLEX 10KE Embedded Programmable Logic Family Data Sheet
Table 78. EPF10K200S Device EAB Internal Timing Macroparameters Symbol -1 Min
tEABAA tEABRCOMB tEABRCREG tEABWP tEABWCOMB tEABWCREG tEABDD tEABDATACO tEABDATASU tEABDATAH tEABWESU tEABWEH tEABWDSU tEABWDH tEABWASU tEABWAH tEABWO 1.3 0.0 0.9 0.4 1.5 0.0 3.0 0.4 3.4 5.1 4.8 3.3 6.7 6.6 4.0 0.8 1.6 0.0 1.1 0.5 1.8 0.0 3.6 0.5 4.4
Notes (1), (2) Unit -3
Speed Grade -2 Max
5.1 6.4 5.7 4.0 8.1 8.0 5.1 1.0 2.1 0.0 1.5 0.6 2.4 0.0 4.7 0.7 5.8
Min
Max
6.4
Min
8.4 7.6 5.3 10.7 10.6
Max
8.4 ns ns ns ns ns ns 6.7 1.3 ns ns ns ns ns ns ns ns ns ns ns
Table 79. EPF10K200S Device Interconnect Timing Microparameters (Part 1 of 2) Symbol -1 Min
tDIN2IOE tDIN2LE tDIN2DATA tDCLK2IOE tDCLK2LE tSAMELAB tSAMEROW tSAMECOLUMN tDIFFROW tTWOROWS
Notes (1), (2) Unit
Speed Grade -2 Max
4.4 0.6 1.9 1.7 0.6 0.1 2.8 3.3 6.1 8.9
-3 Max
4.8 0.6 2.1 2.0 0.6 0.1 3.2 3.5 6.7 9.9
Min
Min
Max
5.5 0.9 2.8 2.8 0.9 0.2 3.9 4.6 8.5 12.4 ns ns ns ns ns ns ns ns ns ns
92
Altera Corporation
FLEX 10KE Embedded Programmable Logic Family Data Sheet
Table 79. EPF10K200S Device Interconnect Timing Microparameters (Part 2 of 2) Symbol -1 Min
tLEPERIPH tLABCARRY tLABCASC
Notes (1), (2) Unit
Speed Grade -2 Max
5.5 0.1 0.9
-3 Max
6.2 0.1 1.0
Min
Min
Max
7.2 0.2 1.4 ns ns ns
Table 80. EPF10K200S External Timing Parameters Symbol -1 Min
tDRR tINSU (4) tINH (4) tOUTCO (4) tINSU(5) tINH (5) tOUTCO(5) tPCISU tPCIH tPCICO 3.1 0.0 2.0 2.1 0.0 0.5 3.0 0.0 2.0 6.0 2.7 3.7
Notes (1), (2), (3) Unit -3 Max
12.0
Speed Grade -2 Max
9.0 3.7 0.0 2.0 2.7 0.0 0.5 4.2 0.0 2.0 8.9 3.4 4.4
Min
Min
4.7 0.0 2.0 - - - - - -
Max
16.0 ns ns ns 6.3 ns ns ns - ns ns ns - ns
Altera Corporation
93
FLEX 10KE Embedded Programmable Logic Family Data Sheet
Table 81. EPF10K200S External Bidirectional Timing Parameters Symbol -1 Min
tINSUBIDIR (4) tINHBIDIR (4) tOUTCOBIDIR (4) tXZBIDIR (4) tZXBIDIR (4) tINSUBIDIR (5) tINHBIDIR (5) tOUTCOBIDIR (5) tXZBIDIR (5) tZXBIDIR (5) Notes to tables:
(1) (2) (3) (4) (5)
Notes (1), (2), (3) Unit -3
Speed Grade -2 Max Min
3.4 0.0 3.7 6.9 6.9 3.3 0.0 0.5 2.7 5.9 5.9 4.4 0.0 0.5 3.4 6.6 6.6 2.0 4.4 7.6 7.6 - - - - - -
Max
Min
4.4 0.0 2.0
Max
ns ns 6.3 9.2 9.2 ns ns ns
2.3 0.0 2.0
ns ns ns
All timing parameters are described in Tables 24 through 30 in this data sheet. All EPF10K200S timing values are preliminary. These parameters are specified by characterization. This parameter is measured without the use of the ClockLock or ClockBoost circuits. This parameter is measured with the use of the ClockLock or ClockBoost circuits.
Power Consumption
The supply power (P) for FLEX 10KE devices can be calculated with the following equation: P = PINT + PIO = (I CCSTANDBY + ICCACTIVE) x VCC + PIO The ICCACTIVE value depends on the switching frequency and the application logic. This value is calculated based on the amount of current that each LE typically consumes. The PIO value, which depends on the device output load characteristics and switching frequency, can be calculated using the guidelines given in Application Note 74 (Evaluating Power for Altera Devices). 1 Compared to the rest of the device, the embedded array consumes a negligible amount of power. Therefore, the embedded array can be ignored when calculating supply current.
94
Altera Corporation
FLEX 10KE Embedded Programmable Logic Family Data Sheet
The ICCACTIVE value can be calculated with the following equation: A ICCACTIVE = K x fMAX x N x togLC x -------------------------MHz x LE Where: fMAX N togLC K = = = = Maximum operating frequency in MHz Total number of LEs used in the device Average percent of LEs toggling at each clock (typically 12.5%) Constant
Table 82 provides the constant (K) values for FLEX 10KE devices. Table 82. FLEX 10KE K Constant Values Device
EPF10K30E EPF10K50E EPF10K50S EPF10K100B EPF10K100E EPF10K130E EPF10K200E EPF10K200S
K Value
4.5 4.8 4.5 5.0 4.5 4.6 4.8 4.6
This calculation provides an ICC estimate based on typical conditions with no output load. The actual ICC should be verified during operation because this measurement is sensitive to the actual pattern in the device and the environmental operating conditions. To better reflect actual designs, the power model (and the constant K in the power calculation equations) for continuous interconnect FLEX devices assumes that LEs drive FastTrack Interconnect channels. In contrast, the power model of segmented FPGAs assumes that all LEs drive only one short interconnect segment. This assumption may lead to inaccurate results when compared to measured power consumption for actual designs in segmented FPGAs. Figure 29 shows the relationship between the current and operating frequency of FLEX 10KE devices.
Altera Corporation
95
FLEX 10KE Embedded Programmable Logic Family Data Sheet
Figure 29. FLEX 10KE ICCACTIVE vs. Operating Frequency (Part 1 of 2)
EPF10K30E
100 80
EPF10K50E
200
150
ICC Supply Current (mA)
60 40 20
ICC Supply Current (mA)
100
50
0
50
100
0
50
100
Frequency (MHz)
Frequency (MHz)
EPF10K50S
200
EPF10K100E
300
150
ICC Supply
ICC Supply
100
200
Current (mA)
Current (mA)
100
50
0
50
100
0
50
100
Frequency (MHz)
Frequency (MHz) EPF10K130E
400
EPF10K100B
300
300
ICC Supply
200
ICC Supply
Current (mA)
100
Current (mA)
200
100
0
50
100
0
50
100
Frequency (MHz)
Frequency (MHz)
96
Altera Corporation
FLEX 10KE Embedded Programmable Logic Family Data Sheet
Figure 29. FLEX 10KE ICCACTIVE vs. Operating Frequency (Part 2 of 2)
EPF10K200E
600
EPF10K200S
600
ICC Supply
400
ICC Supply
400
Current (mA)
200
Current (mA)
200
0
50
100
0
50
100
Frequency (MHz)
Frequency (MHz)
Configuration & Operation
The FLEX 10KE architecture e supports several configuration schemes. This section summarizes the device operating modes and available device configuration schemes.
Operating Modes
The FLEX 10KE architecture uses SRAM configuration elements that require configuration data to be loaded every time the circuit powers up. The process of physically loading the SRAM data into the device is called configuration. Before configuration, as VCC rises, the device initiates a Power-On Reset (POR). This POR event clears the device and prepares it for configuration. The FLEX 10KE POR time does not exceed 50 s; however, when configuring with a configuration device, the configuration device imposes a 100-ms delay that allows system power to stabilize before configuration. During initialization, which occurs immediately after configuration, the device resets registers, enables I/O pins, and begins to operate as a logic device. The I/O pins are tri-stated during power-up, and before and during configuration. Together, the configuration and initialization processes are called command mode; normal device operation is called user mode. SRAM configuration elements allow FLEX 10KE devices to be reconfigured in-circuit by loading new configuration data into the device. Real-time reconfiguration is performed by forcing the device into command mode with a device pin, loading different configuration data, reinitializing the device, and resuming user-mode operation. The entire reconfiguration process requires less than 85 ms and can be used to reconfigure an entire system dynamically. In-field upgrades can be performed by distributing new configuration files.
Altera Corporation 97
FLEX 10KE Embedded Programmable Logic Family Data Sheet
Before and during configuration, all I/O pins (except dedicated inputs, clock, or configuration pins) are pulled high by a weak pull-up resistor.
Programming Files
Despite being function- and pin-compatible, FLEX 10KE devices are not programming- or configuration file-compatible with FLEX 10K or FLEX 10KA devices. A design therefore must be recompiled before it is transferred from a FLEX 10K or FLEX 10KA device to an equivalent FLEX 10KE device. This recompilation should be performed both to create a new programming or configuration file and to check design timing in FLEX 10KE devices, which has different timing characteristics than FLEX 10K or FLEX 10KA devices. FLEX 10KE devices are generally pin-compatible with equivalent FLEX 10KA devices. In some cases, FLEX 10KE devices have fewer I/O pins than the equivalent FLEX 10KA devices. Table 83 shows which FLEX 10KE devices have fewer I/O pins than equivalent FLEX 10KA devices. However, power, ground, JTAG, and configuration pins are the same on FLEX 10KA and FLEX 10KE devices, enabling migration from a FLEX 10KA design to a FLEX 10KE design. Additionally, the MAX+PLUS II software offers several features that help plan for future device migration by preventing the use of conflicting I/O pins. Table 83. I/O Counts for FLEX 10KA & FLEX 10KE Devices FLEX 10KA Device
EPF10K30AF256 EPF10K30AF484 EPF10K50VB356 EPF10K50VB356 EPF10K50VF484 EPF10K50VF484 EPF10K100AF484
FLEX 10KE I/O Count
191 246 274 274 291 291 369
Device
EPF10K30EF256 EPF10K30EF484 EPF10K50EB356 EPF10K50SB356 EPF10K50EF484 EPF10K50SF484 EPF10K100EF484
I/O Count
176 220 220 220 254 254 338
98
Altera Corporation
FLEX 10KE Embedded Programmable Logic Family Data Sheet
Configuration Schemes
The configuration data for a FLEX 10KE device can be loaded with one of five configuration schemes (see Table 84), chosen on the basis of the target application. An EPC2, EPC1, or EPC1441 configuration device, intelligent controller, or the JTAG port can be used to control the configuration of a FLEX 10KE device, allowing automatic configuration on system power-up. Multiple FLEX 10KE devices can be configured in any of the five configuration schemes by connecting the configuration enable (nCE) and configuration enable output (nCEO) pins on each device. Additional FLEX 10K, FLEX 10KA, FLEX 10KE, and FLEX 6000 devices can be configured in the same serial chain. Table 84. Data Sources for FLEX 10KE Configuration Configuration Scheme
Configuration device Passive serial (PS) Passive parallel asynchronous (PPA) Passive parallel synchronous (PPS) JTAG
Data Source
EPC1, EPC2, or EPC1441 configuration device BitBlaster, ByteBlaster, or ByteBlasterMV download cables, or serial data source Parallel data source Parallel data source BitBlaster or ByteBlasterMV download cables, or microprocessor with a Jam File or JBC File
Altera Corporation
99
FLEX 10KE Embedded Programmable Logic Family Data Sheet
Device Pin-Outs
Tables 85 and 86 shows the dedicated pin-outs for FLEX 10KE devices in 144-pin TQFP, 208-pin PQFP, 240-pin PQFP, 356-pin BGA, 599-pin PGA, and 600-pin BGA packages. Note (1)
240-Pin PQFP (2), (3) EPF10K50E EPF10K50S EPF10K100E EPF10K100B
124 123 60 121 179 2 26 178 3 238 236 240 239 23 11 190 188 186 185 183 182 181 180 177 4 1 58 59
Table 85. FLEX 10KE Device Pin-Outs (Part 1 of 2)
Pin Name 144-Pin TQFP EPF10K30E EPF10K50E EPF10K50S 208-Pin PQFP EPF10K30E EPF10K50E EPF10K50S EPF10K100E EPF10K100B
108 107 52 105 155 2 19 154 3 206 204 208 207 16 10 166 164 162 161 159 158 157 156 153 4 1 50 51
240-Pin PQFP (2) EPF10K130E
240-Pin RQFP (3) EPF10K200S
MSEL0 (4) MSEL1 (4) nSTATUS (4) nCONFIG (4) DCLK (4) CONF_DONE (4) INIT_DONE (5) nCE (4) nCEO (4) nWS (6) nRS (6) nCS (6) CS (6) RDYnBUSY (6) CLKUSR (6) DATA7 (6) DATA6 (6) DATA5 (6) DATA4 (6) DATA3 (6) DATA2 (6) DATA1 (6) DATA0 (4), (7) TDI (4) TDO (4) TCK (4) TMS (4) TRST (4)
77 76 35 74 107 2 14 106 3 142 141 144 143 11 7 116 114 113 112 111 110 109 108 105 4 1 34 (8)
124 123 60 121 179 2 26 178 3 238 236 240 239 23 11 190 188 186 185 183 182 181 180 177 4 1 58 59
124 123 60 121 179 2 26 178 3 238 236 240 239 23 11 190 188 186 185 183 182 181 180 177 4 1 58 59
100
Altera Corporation
FLEX 10KE Embedded Programmable Logic Family Data Sheet
Table 85. FLEX 10KE Device Pin-Outs (Part 2 of 2)
Pin Name 144-Pin TQFP EPF10K30E EPF10K50E EPF10K50S 208-Pin PQFP EPF10K30E EPF10K50E EPF10K50S EPF10K100E EPF10K100B
79, 183 79 62 180 186
Note (1)
240-Pin PQFP (2), (3) EPF10K50E EPF10K50S EPF10K100E EPF10K100B 240-Pin PQFP (2) EPF10K130E 240-Pin RQFP (3) EPF10K200S
Dedicated Inputs Dedicated Clock Pins GCLK1 (9) Lock (10) DEV_CLRn (5) DEV_OE (5) VCCINT (2.5 V)
54, 56, 124, 126 78, 80, 182, 184 90, 92, 210, 212 90, 92, 210, 212 90, 92, 210, 212 55, 125 55 42 122 128 91, 211 91 73 209 213 91, 211 91 73 209 213 91, 211 91 73 209 213
6, 25, 52, 75, 93, 6, 23, 35, 43, 76, 5, 27, 47, 96, 123 106, 109, 117, 122, 130, 150, 137, 145, 181 170 5, 24, 45, 61, 71, 5, 22, 34, 42, 66, 16, 37, 57, 77, 94, 115, 134 84, 98, 110, 118, 112, 140, 160, 138, 146, 165, 189, 205, 224 178, 194 53 15, 16, 40, 50, 58, 66, 84, 85, 103, 104, 127, 129, 139 77 (12) 20, 21, 32, 33, 48, 49, 59, 72, 82, 91, 123, 124, 129, 130, 151, 152, 171, 185, 188, 201 - 81 (13) 147 89 (12) 10, 22, 32, 42, 52, 69, 85, 104, 125, 135, 145, 155, 165, 176, 197, 216, 232 - 93 (13) 189
5, 20, 27, 47, 76, 5, 20, 27, 40, 47, 96, 122, 130, 76, 96, 122, 130, 150, 159, 170 139, 150, 159, 170, 187, 225 16, 37, 57, 77, 112, 140, 160, 189, 205, 224 89 10, 22, 32, 42, 52, 69, 85, 104, 125, 135, 145, 155, 165, 176, 197, 216, 232 - 93 186 16, 37, 57, 77, 112, 140, 160, 189, 205, 224 89 10, 22, 32, 42, 52, 69, 85, 104, 125, 135, 145, 155, 165, 176, 197, 216, 232 - 93 182
VCCIO (2.5 or 3.3 V)
VCC_CKLK (11) GNDINT
GNDIO GND_CKLK (11)
- 57
Total User I/O Pins 102 (14)
Altera Corporation
101
FLEX 10KE Embedded Programmable Logic Family Data Sheet
Table 86. FLEX 10KE Device Pin-Outs (Part 1 of 4)
Pin Name 356-Pin BGA EPF10K50E EPF10K50S
D4 D3 D24 D2 AC5 AC24 T24 AC2 AC22 AE24 AE23 AD24 AD23 U22 AA24 AF4 AD8 AE5 AD6 AF2 AD5 AD4 AD3 AC3 AC23 AD25 D22 D23
Note (1)
599-Pin PGA EPF10K200E EPF10K200S
F6 C3 E43 B4 BE5 BC43 AM40 BB6 BF44 BB40 BA37 AY38 BA39 AW47 AY42 BD14 BA17 BB16 BF12 BG11 BG9 BF10 BC5 BF4 BB42 BE43 F42 B46
356-Pin BGA EPF10K100E EPF10K130E EPF10K200S
D4 D3 D24 D2 AC5 AC24 T24 AC2 AC22 AE24 AE23 AD24 AD23 U22 AA24 AF4 AD8 AE5 AD6 AF2 AD5 AD4 AD3 AC3 AC23 AD25 D22 D23
600-Pin BGA EPF10K130E
600-Pin BGA EPF10K200E EPF10K200S
F5 C1 D32 D4 AP1 AM32 AE32 AN2 AP35 AR29 AM28 AL29 AN29 AG35 AM34 AM13 AR12 AN12 AP11 AM11 AR10 AN10 AM4 AN1 AN34 AL31 C35 C34 C18, D18, AM18, AN18 AL18, E18 E18 A23
MSEL0 (4) MSEL1 (4) nSTATUS (4) nCONFIG (4) DCLK (4) CONF_DONE (4) INIT_DONE (5) nCE (4) nCEO (4) nWS (6) nRS (6) nCS (6) CS (6) RDYnBUSY (6) CLKUSR (6) DATA7 (6) DATA6 (6) DATA5 (6) DATA4 (6) DATA3 (6) DATA2 (6) DATA1 (6) DATA0 (4), (7) TDI (4) TDO (4) TCK (4) TMS (4) TRST (4) Dedicated Inputs Dedicated Clock Pins GCLK1 (9) Lock (10)
F5 C1 D32 D4 AP1 AM32 AE32 AN2 AP35 AR29 AM28 AL29 AN29 AG35 AM34 AM13 AR12 AN12 AP11 AM11 AR10 AN10 AM4 AN1 AN34 AL31 C35 C34
A13, B14, AF14, A13, B14, AF14, B24, C25, BG25, C18, D18, AE13 AE13 BG23 AM18, AN18 A14, AF13 A14 C18 A14, AF13 A14 C18 BF24, A25 - - AL18, E18 E18 A23
102
Altera Corporation
FLEX 10KE Embedded Programmable Logic Family Data Sheet
Table 86. FLEX 10KE Device Pin-Outs (Part 2 of 4)
Pin Name 356-Pin BGA EPF10K50E EPF10K50S
AD13 AE14 A1, A26, C26, D5, F1, H22, J1, M26, N1, T26, U5, AA1, AD26, AF1, AF26
Note (1)
599-Pin PGA EPF10K200E EPF10K200S
BE23 BC25 A3, A45, C1, C11, C19, C29, C37, C47, E5, G25, L3, L45, W3, W45, AJ3, AJ45, AU3, AU45, BE1, BE11, BE19, BE29, BE37, BE47, BG3, BG45 D24, E9, E15, E21, E27, E33, E39, G7, G41, J5, J43, R5, R43, AA5, AA43, AD4, AD44, AG5, AG43, AN5, AN43, AW5, AW43, BA7, BA41, BC9, BC15, BC21, BC27, BC33, BC39, BD24 -
356-Pin BGA EPF10K100E EPF10K130E EPF10K200S
AD13 AE14 A1, A26, C26, D5, F1, H22, J1, M26, N1, T26, U5, AA1, AD26, AF1, AF26
600-Pin BGA EPF10K130E
600-Pin BGA EPF10K200E EPF10K200S
AR17 AR19 A11, A19, B1, D24, E2, F31, F35, H1, K32, M2, N34, P5, T35, U3, V32, Y2, AA33, AB5, AD35, AE4, AF32, AG5, AK31, AK35, AL3, AP24, AR11, AR18 C8, E12, C15, A20, C23, A27, AM26, AR23, AM19, AN15, AL12, AN8, C2, C3, C4, D5, E5, C33, C32, D31, E31, AL5, AM5, AN4, AN3, AM31, AN32, AN33, AP34
DEV_CLRn (5) DEV_OE (5) VCCINT (2.5 V)
AR17 AR19 A11, A19, B1, D24, E2, F31, F35, H1, K32, M2, N34, P5, T35, U3, V32, Y2, AA33, AB5, AD35, AE4, AF32, AG5, AK31, AK35, AL3, AP24, AR11, AR18 C8, E12, C15, A20, C23, A27, AM26, AR23, AM19, AN15, AL12, AN8, C2, C3, C4, D5, E5, C33, C32, D31, E31, AL5, AM5, AN4, AN3, AM31, AN32, AN33, AP34
VCCIO (2.5 or 3.3 V)
A7, A23, B4, C15, D25, F4, H24, K5, M23, P2, T25, V2, W22, AB1, AC25, AD18, AF3, AF7, AF16
A7, A23, B4, C15, D25, F4, H24, K5, M23, P2, T25, V2, W22, AB1, AC25, AD18, AF3, AF7, AF16
VCC_CKLK (11)
C14
C14
B18
B18
Altera Corporation
103
FLEX 10KE Embedded Programmable Logic Family Data Sheet
Table 86. FLEX 10KE Device Pin-Outs (Part 3 of 4)
Pin Name 356-Pin BGA EPF10K50E EPF10K50S
A2, A10, A20, B1, B22, B25, B26, C2, C9, C13, C25, H23, J26, K1, M1, N26, R1, R26, T1, U26, W1, AD2, AD14, AD20, AE1, AE2, AE7, AE25, AE26, AF11, AF19, AF25
Note (1)
599-Pin PGA EPF10K200E EPF10K200S
A47, B2, C13, C21, C27, C35, C45, D4, G23, N3, N45, AA3, AA45, AG3, AG45, AR3, AR45, BD44, BE3, BE13, BE21, BE27, BE35, BE45, BG1, BG47
356-Pin BGA EPF10K100E EPF10K130E EPF10K200S
A2, A10, A20, B1, B22, B25, B26, C2, C9, C13, C25, H23, J26, K1, M1, N26, R1, R26, T1, U26, W1, AD2, AD14, AD20, AE1, AE2, AE7, AE25, AE26, AF11, AF19, AF25
600-Pin BGA EPF10K130E
600-Pin BGA EPF10K200E EPF10K200S
A1, A2, A3, A4, A5, A31, A32, A33, A34, A35, B2, B3, B4, B5, B6, B31, B32, B33, B34, B35, C5, C6, D6, E6, C30, C31, D30, E30, AL6, AL30, AM6, AM30, AN5, AN6, AN30, AN31, AN35, AP2, AP3, AP4, AP5, AP6, AP30, AP31, AP32, AP33, AR1, AR2, AR3, AR4, AR5, AR30, AR31, AR32, AR33, AR34, AR35 -
GNDINT
A1, A2, A3, A4, A5, A31, A32, A33, A34, A35, B2, B3, B4, B5, B6, B31, B32, B33, B34, B35, C5, C6, D6, E6, C30, C31, D30, E30, AL6, AL30, AM6, AM30, AN5, AN6, AN30, AN31, AN35, AP2, AP3, AP4, AP5, AP6, AP30, AP31, AP32, AP33, AR1, AR2, AR3, AR4, AR5, AR30, AR31, AR32, AR33, AR34, AR35 -
GNDIO
-
-
E7, E13, E19, E29, E35, E41, F24, G5, G43, H40, N5, N43, W5, W43, AD6, AD42, AJ5, AJ43, AR5, AR43, AY8, AY40, BA5, BA43, BB24, BC7, BC13, BC19, BC29, BC35, BC41 -
GND_CKLK (11)
B13
B13
A18
A18
104
Altera Corporation
FLEX 10KE Embedded Programmable Logic Family Data Sheet
Table 86. FLEX 10KE Device Pin-Outs (Part 4 of 4)
Pin Name 356-Pin BGA EPF10K50E EPF10K50S 356-Pin BGA EPF10K100E EPF10K130E EPF10K200S
Note (1)
599-Pin PGA EPF10K200E EPF10K200S
-
600-Pin BGA EPF10K130E
600-Pin BGA EPF10K200E EPF10K200S
No Connect (N.C.) D1, E2, E22, - E25, F5, F23, F26, G3, G22, G25, H4, H5, J2, J4, J23, J24, K2, K3, K25, K26, L2, L23, L26, M2, M5, M22, M25, N4, N25, P1, P5, P22, P23, R5, T22, U2, U3, U23, U24, V4, W3, W4, W24, W26, Y2, Y5, AA3, AA22, AA25, AB3, AB5, AB22, AB24, AB26 Total User I/O Pins 220 (14) 274
D3, D35, E1, - F34, G2, H5, J3, J4, J32, K1, L4, L31, M3, N1, N33, N35, P4, P33, R2, R32, T4, U5, U34, V3, V34, W1, W31, W35, Y31, AA2, AA34, AB1, AB31, AB34, AB35, AC31, AC34, AE33, AE35, AF1, AG3, AH2, AJ32, AK2, AK32, AL33
470
424
470
Altera Corporation
105
FLEX 10KE Embedded Programmable Logic Family Data Sheet Notes to tables:
(1) (2) All pins that are not listed are user I/O pins. EPF10K50E, EPF10K100E, and EPF10K100B devices are pin-compatible with the EPF10K130E devices in the same package if pins 20, 76, and 159 are connected to VCCINT. The MAX+PLUS II software performs this function automatically when future migration is set. EPF10K50E, EPF10K100E, and EPF10K100B devices are pin-compatible with the EPF10K200E devices in the same package if pins 20, 40, 76, 139, 159, 187, and 225 are connected to VCCINT. The MAX+PLUS II software performs this function automatically when future migration is set. This pin is a dedicated pin; it is not available as a user I/O pin. This pin can be used as a user I/O pin if it is not used for its device-wide or configuration function. This pin can be used as a user I/O pin after configuration. This pin is tri-stated in user mode. The optional JTAG pin TRST is not used in the 144-pin TQFP package. This pin drives the ClockLock and ClockBoost circuitry. This pin shows the status of the ClockLock and ClockBoost circuitry. When the ClockLock and ClockBoost circuitry is locked to the incoming clock and generates an internal clock, LOCK is driven high. LOCK remains high if a periodic clock stops clocking. The LOCK function is optional; if the LOCK output is not used, this pin is a user I/O pin. This pin is the power or ground for the ClockLock and ClockBoost circuitry. To ensure noise resistance, the power and ground supply to the ClockLock and ClockBoost circuitry should be isolated from the power and ground to the rest of the device. If the ClockLock or ClockBoost circuitry is not used, this power or ground pin should be connected to VCCINT or GNDINT, respectively. When using the EPF10K100B device, connect this pin to VCCINT. When using the EPF10K100B device, connect this pin to GNDINT. The user I/O pin count includes dedicated input pins, dedicated clock pins, and all I/O pins.
(3)
(4) (5) (6) (7) (8) (9) (10)
(11)
(12) (13) (14)
Tables 87 through 89 show the dedicated pin-outs for FLEX 10KE devices in 256-pin FineLine BGA, 484-pin FineLine BGA, and 672-pin FineLine BGA packages. Table 87. FLEX 10KE FineLine BGA Device Pin-Outs (Part 1 of 4) Pin Name 256-Pin FineLine BGA EPF10K30E Notes (1), (2) 484-Pin FineLine BGA EPF10K30E
256-Pin FineLine BGA EPF10K50E EPF10K50S EPF10K100E EPF10K100B
P1 R1 T16 N4 B2 C15 G16 B1 B16 B14 C14 U4 V4 W19 T7 E5 F18 K19 E4 E19 E17 F17
MSEL0 (3) MSEL1 (3) nSTATUS (3) nCONFIG (3) DCLK (3) CONF_DONE (3) INIT_DONE (4) nCE (3) nCEO (3) nWS (5) nRS (5)
P1 R1 T16 N4 B2 C15 G16 B1 B16 B14 C14
106
Altera Corporation
FLEX 10KE Embedded Programmable Logic Family Data Sheet
Table 87. FLEX 10KE FineLine BGA Device Pin-Outs (Part 2 of 4) Pin Name 256-Pin FineLine BGA EPF10K30E
Notes (1), (2) 484-Pin FineLine BGA EPF10K30E
256-Pin FineLine BGA EPF10K50E EPF10K50S EPF10K100E EPF10K100B
A16 A15 G14 D15 B5 D4 A4 B4 C3 A2 B3 A1 C2 C16 B15 P15 R16 B9, E8, M9, R8 A9, L8 L8 P12 D8 C9 D19 D18 K17 G18 E8 G7 D7 E7 F6 D5 E6 D4 F5 F19 E18 U18 V19
nCS (5) CS (5) RDYnBSY (5) CLKUSR (5) DATA7 (5) DATA6 (5) DATA5 (5) DATA4 (5) DATA3 (5) DATA2 (5) DATA1 (5) DATA0 (3), (6) TDI (3) TDO (3) TCK (3) TMS (3) TRST (3) Dedicated Inputs Dedicated Clock Pins GCLK1 (7) LOCK (8) DEV_CLRn (4) DEV_OE (4) VCCINT (2.5 V)
A16 A15 G14 D15 B5 D4 A4 B4 C3 A2 B3 A1 C2 C16 B15 P15 R16 B9, E8, M9, R8 A9, L8 L8 P12 D8 C9
E12, H11, R12, V11 D12, P11 P11 U15 G11 F12
E11, F5, F7, F9, F12, H6, E11, F5, F7, F9, F12, H6, C11, C15, H14, J8, J10, H7, H10, J7, J10, J11, K9, H7, H10, J7, J10, J11, K9, J12, J15, L9, L10, L13, L5, L7, L12, M11, R2 M10, M13, M14, N12, P8, L5, L7, L12, M11, R2 P10, P15, R14, V5, W21, Y8, AA12 D12, E6, F8, F10, G6, G8, D12, E6, F8, F10, G6, G8, A6, A13, B5, E1, G1, G15, G11, H11, J6, K6, K8, K11, G11, H11, J6, K6, K8, K11, H9, H20, J11, J13, K9, L10, M6, N12 L10, M6, N12 K11, K14, K20, L14, M9, N3, N9, N11, N14, N20, P13, R1, R9, T3, T15, T22, V22, AB13
VCCIO (2.5 or 3.3 V)
Altera Corporation
107
FLEX 10KE Embedded Programmable Logic Family Data Sheet
Table 87. FLEX 10KE FineLine BGA Device Pin-Outs (Part 3 of 4) Pin Name 256-Pin FineLine BGA EPF10K30E
Notes (1), (2) 484-Pin FineLine BGA EPF10K30E
256-Pin FineLine BGA EPF10K50E EPF10K50S EPF10K100E EPF10K100B
L9 (10) P12
VCC_CKLK (9) GND
L9
E5, E12, F6, F11, G7, G9, E5, E12, F6, F11, G7, G9, A1, A8, A22, B1, B2, B17, G10, H8, H9, J8, J9, K7, G10, H8, H9, J8, J9, K7, B21, B22, C2, C21, E21, K10, L6, L11, M5, M12 K10, L6, L11, M5, M12 G3, G21, H2, H8, H15, J9, J14, J20, K3, K10, K12, K13, L11, L12, M11, M12, M20, N10, N13, P9, P14, R8, R15, R22, T1, V3, W20, Y1, Y2, Y3, Y21, Y22, AA1, AA6, AA22, AB11, AB16 T8 T8 (11) W11
GND_CKLK (9)
108
Altera Corporation
FLEX 10KE Embedded Programmable Logic Family Data Sheet
Table 87. FLEX 10KE FineLine BGA Device Pin-Outs (Part 4 of 4) Pin Name 256-Pin FineLine BGA EPF10K30E
Notes (1), (2) 484-Pin FineLine BGA EPF10K30E
256-Pin FineLine BGA EPF10K50E EPF10K50S EPF10K100E EPF10K100B
No Connect (N.C.)
D1, E3, E16, G3, H1, H16, - J1, K3, K14, K16, L2, L4, M14, M16, N15
A2, A3, A4, A5, A7, A9, A11, A12, A14, A15, A20, A21, B3, B4, B9, B10, B12, B16, B19, B20, C1, C6, C9, C10, C12, C13, C14, C16, C17, C22, D1, D2, D3, D20, D21, D22, E2, E3, E20, E22, F1, F2, F3, F20, F21, F22, G2, G4, G20, G22, H1, H3, H6, H19, H21, H22, J1, J2, J3, J21, J22, K1, K2, K6, K21, K22, L1, L2, L3, L4, L19, L20, L21, L22, M1, M2, M3, M4, M21, M22, N1, N2, N21, N22, N6, N17, N19, P1, P2, P3, P5, P7, P20, P21, P22, R2, R3, R17, R19, R20, R21, T2, T18, T20, T21, U1, U2, U3, U20, U21, U22, V1, V2, V20, V21, W1, W2, W22, Y4, Y9, Y12, Y13, Y16, Y19, Y20, AA2, AA3, AA4, AA9, AA11, AA13, AA15, AA21, AB1, AB2, AB3, AB4, AB5, AB7, AB8, AB9, AB12, AB15, AB17, AB18, AB19, AB20, AB21, AB22 220
Total User I/O Pins (12)
176
191
Altera Corporation
109
FLEX 10KE Embedded Programmable Logic Family Data Sheet
Table 88. FLEX 10KE FineLine BGA Device Pin-Outs (Part 1 of 2) Pin Name 484-Pin FineLine BGA EPF10K50E EPF10K50S
U4 V4 W19 T7 E5 F18 K19 E4 E19 E17 F17 D19 D18 K17 G18 E8 G7 D7 E7 F6 D5 E6 D4 F5 F19 E18 U18 V19 E12, H11, R12, V11 D12, P11 P11 U15 G11 F12
Notes (1), (2) 484-Pin FineLine BGA EPF10K100E
U4 V4 W19 T7 E5 F18 K19 E4 E19 E17 F17 D19 D18 K17 G18 E8 G7 D7 E7 F6 D5 E6 D4 F5 F19 E18 U18 V19 E12, H11, R12, V11 D12, P11 P11 U15 G11 F12
MSEL0 (3) MSEL1 (3) nSTATUS (3) nCONFIG (3) DCLK (3) CONF_DONE (3) INIT_DONE (4) nCE (3) nCEO (3) nWS (5) nRS (5) nCS (5) CS (5) RDYnBSY (5) CLKUSR (5) DATA7 (5) DATA6 (5) DATA5 (5) DATA4 (5) DATA3 (5) DATA2 (5) DATA1 (5) DATA0 (3), (6) TDI (3) TDO (3) TCK (3) TMS (3) TRST (3) Dedicated Inputs Dedicated Clock Pins GCLK1 (7) LOCK (8) DEV_CLRn (4) DEV_OE (4)
110
Altera Corporation
FLEX 10KE Embedded Programmable Logic Family Data Sheet
Table 88. FLEX 10KE FineLine BGA Device Pin-Outs (Part 2 of 2) Pin Name 484-Pin FineLine BGA EPF10K50E EPF10K50S
Notes (1), (2) 484-Pin FineLine BGA EPF10K100E
VCCINT (2.5 V)
C11, C15, H14, J8, J10, J12, J15, L9, C11, C15, H14, J8, J10, J12, J15, L9, L10, L13, M10, M13, M14, N12, P8, P10, L10, L13, M10, M13, M14, N12, P8, P10, P15, R14, V5, W21, Y8, AA12 P15, R14, V5, W21, Y8, AA12 A6, A13, B5, E1, G1, G15, H9, H20, J11, J13, K9, K11, K14, K20, L14, M9, N3, N9, N11, N14, N20, P13, R1, R9, T3, T15, T22, V22, AB13 P12 A1, A8, A22, B1, B2, B17, B21, B22, C2, C21, E21, G3, G21, H2, H8, H15, J9, J14, J20, K3, K10, K12, K13, L11, L12, M11, M12, M20, N10, N13, P9, P14, R8, R15, R22, T1, V3, W20, Y1, Y2, Y3, Y21, Y22, AA1, AA6, AA22, AB11, AB16 W11 A2, A3, A4, A5, A7, A9, A11, A12, A14, A15, A20, A21, B3, B4, B9, B10, B12, B16, B19, B20, C1, C6, C9, C10, C12, C13, C14, C16, C17, C22, D1, D2, D3, D20, D21, E2, E3, E20, E22, F1, F2, F20, F21, G2, G20, G22, J1, J2, J3, J21, K2, K22, L1, L2, L20, L22, M2, M3, M22, N1, N2, N21, N22, P3, P20, P21, P22, R2, R3, R21, T2, T20, T21, U1, U2, U3, U20, U21, U22, V2, V20, W1, W2, W22, Y4, Y9, Y12, Y13, Y16, Y19, Y20, AA2, AA3, AA4, AA9, AA11, AA13, AA15, AA21, AB1, AB2, AB3, AB4, AB5, AB7, AB8, AB9, AB12, AB15, AB17, AB18, AB19, AB20, AB21, AB22 254 A6, A13, B5, E1, G1, G15, H9, H20, J11, J13, K9, K11, K14, K20, L14, M9, N3, N9, N11, N14, N20, P13, R1, R9, T3, T15, T22, V22, AB13 P12 A1, A8, A22, B1, B2, B17, B21, B22, C2, C21, E21, G3, G21, H2, H8, H15, J9, J14, J20, K3, K10, K12, K13, L11, L12, M11, M12, M20, N10, N13, P9, P14, R8, R15, R22, T1, V3, W20, Y1, Y2, Y3, Y21, Y22, AA1, AA6, AA22, AB11, AB16 W11 A2, A3, A4, A5, B3, B4, B10, C17, F2, J2, K2, L2, N1, P20, P22, R3, T20, T21, U1, W22, Y16, AA15, AB3, AB4, AB5, AB7, AB15, AB17, AB18, AB19, AB20
VCCIO (2.5 or 3.3 V)
VCC_CKLK (9) GND
GND_CKLK (9) No Connect (N.C.)
Total User I/O Pins (12)
338
Altera Corporation
111
FLEX 10KE Embedded Programmable Logic Family Data Sheet
Table 89. FLEX 10KE FineLine BGA Device Pin-Outs (Part 1 of 3) Pin Name 484-Pin FineLine BGA EPF10K130E EPF10K200S
U4 V4 W19 T7 E5 F18 K19 E4 E19 E17 F17 D19 D18 K17 G18 E8 G7 D7 E7 F6 D5 E6 D4 F5 F19 E18 U18 V19 E12, H11, R12, V11 D12, P11 P11 U15 G11 F12 W6 Y6 AA21 V9 G7 H20 M21 G6 G21 G19 H19 F21 F20 M19 J20 G10 J9 F9 G9 H8 F7 G8 F6 H7 H21 G20 W20 Y21
Notes (1), (2) 672-Pin FineLine BGA EPF10K200E EPF10K200S
W6 Y6 AA21 V9 G7 H20 M21 G6 G21 G19 H19 F21 F20 M19 J20 G10 J9 F9 G9 H8 F7 G8 F6 H7 H21 G20 W20 Y21 Y13, U14, G14, K13 T13, F14 T13 W17 J13 H14
672-Pin FineLine BGA EPF10K130E
MSEL0 (3) MSEL1 (3) nSTATUS (3) nCONFIG (3) DCLK (3) CONF_DONE (3) INIT_DONE (4) nCE (3) nCEO (3) nWS (5) nRS (5) nCS (5) CS (5) RDYnBSY (5) CLKUSR (5) DATA7 (5) DATA6 (5) DATA5 (5) DATA4 (5) DATA3 (5) DATA2 (5) DATA1 (5) DATA0 (3), (6) TDI (3) TDO (3) TCK (3) TMS (3) TRST (3) Dedicated Inputs Dedicated Clock Pins GCLK1 (7) LOCK (8) DEV_CLRn (4) DEV_OE (4)
Y13, U14, G14, K13 T13, F14 T13 W17 J13 H14
112
Altera Corporation
FLEX 10KE Embedded Programmable Logic Family Data Sheet
Table 89. FLEX 10KE FineLine BGA Device Pin-Outs (Part 2 of 3) Pin Name 484-Pin FineLine BGA EPF10K130E EPF10K200S
C11, C15, H14, J8, J10, J12, J15, L9, L10, L13, M10, M13, M14, N12, P8, P10, P15, R14, V5, W21, Y8, AA12 A6, A13, B5, E1, G1, G15, H9, H20, J11, J13, K9, K11, K14, K20, L14, M9, N3, N9, N11, N14, N20, P13, R1, R9, T3, T15, T22, V22, AB13 P12 A1, A8, A22, B1, B2, B17, B21, B22, C2, C21, E21, G3, G21, H2, H8, H15, J9, J14, J20, K3, K10, K12, K13, L11, L12, M11, M12, M20, N10, N13, P9, P14, R8, R15, R22, T1, V3, W20, Y1, Y2, Y3, Y21, Y22, AA1, AA6, AA22, AB11, AB16
Notes (1), (2) 672-Pin FineLine BGA EPF10K200E EPF10K200S
E13, E17, H2, H25, K16, L10, L12, L14, L17, M2, M25, N11, N12, N15, P12, P15, P16, R14, T2, T10,T12, T17, T25, U16, Y7, AA23, AB10, AC14 C8, C15, D7, G3, J3, J17, K11, K22, L13, L15, M11, M13, M16, M22, N16, P11, R5, R11, R13, R16, R22, T15, U3, U11, V5, V17, V24, Y2, Y24, AA26, AD15 T14 A2, A25, B2, B25, C3, C10, C24, D3, D4, D19, D23, D24, E4, E23, G23, J5, J23, K4, K10, K17, L11, L16, L22, M5, M12, M14, M15, N13, N14, P13, P14, P22, R12, R15, T11, T16, U10, U17, U24, V3, Y5, AA22, AB3, AB4, AB5, AB23, AB24, AC3, AC8, AC24, AD13, AD18, AE2, AE25, AF2, AF25 AA13
672-Pin FineLine BGA EPF10K130E
E13, E17, H2, H25, K16, L10, L12, L14, L17, M2, M25, N11, N12, N15, P12, P15, P16, R14, T2, T10,T12, T17, T25, U16, Y7, AA23, AB10, AC14 C8, C15, D7, G3, J3, J17, K11, K22, L13, L15, M11, M13, M16, M22, N16, P11, R5, R11, R13, R16, R22, T15, U3, U11, V5, V17, V24, Y2, Y24, AA26, AD15 T14 A2, A25, B2, B25, C3, C10, C24, D3, D4, D19, D23, D24, E4, E23, G23, J5, J23, K4, K10, K17, L11, L16, L22, M5, M12, M14, M15, N13, N14, P13, P14, P22, R12, R15, T11, T16, U10, U17, U24, V3, Y5, AA22, AB3, AB4, AB5, AB23, AB24, AC3, AC8, AC24, AD13, AD18, AE2, AE25, AF2, AF25 AA13
VCCINT (2.5 V)
VCCIO (2.5 or 3.3 V)
VCC_CKLK (9) GND
GND_CKLK (9)
W11
Altera Corporation
113
FLEX 10KE Embedded Programmable Logic Family Data Sheet
Table 89. FLEX 10KE FineLine BGA Device Pin-Outs (Part 3 of 3) Pin Name 484-Pin FineLine BGA EPF10K130E EPF10K200S
-
Notes (1), (2) 672-Pin FineLine BGA EPF10K200E EPF10K200S
A4, A5, A6, A10, A11, A12, A13, A14, A15, A16, A17, A18, A19, A20, A21, A22, A23, A24, B4, B5, B6, B7, B8, B9, B10, B11, B12, B13, B16, B19, B20, B21, B22, B23, B24, C1, AE4, AE5, AE6, AE7, AE8, AE9, AE10, AE11, AE12, AE14, AE15, AE16, AE17, AE19, AE20, AE21, AE22, AE23, AF4, AF5, AF6, AF7, AF8, AF9, AF10, AF12, AF13, AF14, AF15, AF16, AF18, AF20, AF21, AF23, AF24
672-Pin FineLine BGA EPF10K130E
A3, A4, A5, A6, A8, A9, A10, A11, A12, A13, A14, A15, A16, A17, A18, A19, A20, A21, A22, A23, A24, B3, B4, B5, B6, B7, B8, B9, B10, B11, B12, B13, B14, B16, B19, B20, B21, B22, B23, B24, B26, C1, C25, C26, D1, D2, D25, D26, E1, E25, E26, F1, F25, G25, G26, H1, J1, J25, J26, K26, L2, L25, N2, P1, P2, R1, R26, T1, U1, U25, V1, V26, W1, Y26, AA1, AA2, AA25, AB2, AB25, AB26, AC1, AC2, AC25, AC26, AD2, AD26, AE1, AE3, AE4, AE5, AE6, AE7, AE8, AE9, AE10, AE11, AE12, AE14, AE15, AE16, AE17, AE19, AE20, AE21, AE22, AE23, AE24, AE26, AF3, AF4, AF5, AF6, AF7, AF8, AF9, AF10, AF11, AF12, AF13, AF14, AF15, AF16, AF17, AF18, AF20, AF21, AF23, AF24 413
No Connect (N.C.)
Total User I/O Pins (12)
369
470
114
Altera Corporation
FLEX 10KE Embedded Programmable Logic Family Data Sheet Notes to tables:
All pins that are not listed are user I/O pins. All FineLine BGA packages support SameFrame pin migration to allow migration from one package to another. The MAX+PLUS II software performs this function automatically when future migration is set. (3) This pin is a dedicated pin and is not available as a user I/O pin. (4) This pin can be used as a user I/O pin if it is not used for its device-wide or configuration function. (5) This pin can be used as a user I/O pin after configuration. (6) This pin is tri-stated in user mode. (7) This pin drives the ClockLock and ClockBoost circuitry. (8) This pin shows the status of the ClockLock and ClockBoost circuitry. When the ClockLock and ClockBoost circuitry is locked to the incoming clock and generates an internal clock, LOCK is driven high. LOCK remains high if a periodic clock stops clocking. The LOCK function is optional; if the LOCK output is not used, this pin is a user I/O pin. (9) This pin is the power or ground for the ClockLock and ClockBoost circuitry. To ensure noise resistance, the power and ground supply to the ClockLock and ClockBoost circuitry should be isolated from the power and ground to the rest of the device. If the ClockLock or ClockBoost circuitry is not used, this power or ground pin should be connected to VCCINT or GND, respectively. (10) When using the EPF10K100B device, connect this pin to VCCINT. (11) When using the EPF10K100B device, connect this pin to GNDINT. (12) The user I/O pin count includes dedicated input pins, dedicated clock pins, and all I/O pins. (1) (2)
Table 90 shows pin compatibility between different FLEX 10KE devices. Table 90. FLEX 10KE Device Pin Compatibility Device 144-Pin TQFP
(2) (2) (2)
Note (1) 356-Pin BGA 600-Pin 256-Pin 484-Pin 672-Pin BGA FineLine FineLine FineLine BGA BGA BGA
(3) (3) (3) (3) (3) (3) (3) (4) (4) (4) (4) (3) (3) (3) (3) (3) (3) (3) (3) (2) (2) (3) (3) (3) (3) (3)
208-Pin PQFP
(2) (2) (2) (2) (2)
240-Pin PQFP RQFP
(3) (3) (3) (3) (3) (3)
599-Pin PGA
EPF10K30E EPF10K50E EPF10K50S EPF10K100B EPF10K100E EPF10K130E EPF10K200E EPF10K200S Notes:
(1) (2) (3)
(3) (3)
(4)
All FineLine BGA packages support SameFrame pin migration to allow migration from one package to another. The MAX+PLUS II software automatically avoids conflicting pins when future migration is set. Devices in the same package are pin-compatible and have the same number of I/O pins. Devices in the same package are pin-compatible, although some devices have more I/O pins than others. When planning device migration, use the I/O pins that are common to all devices. The MAX+PLUS II software versions 9.1 and higher provide features to help use only the common pins. This option will be supported with a 484-pin FineLine BGA package. By using SameFrame pin migration, all FineLine BGA packages are pin-compatible. For example, a board can be designed to support 256-pin, 484-pin, and 672-pin FineLine BGA packages. The MAX+PLUS II software automatically avoids conflicting pins when future migration is set.
Altera Corporation
115
FLEX 10KE Embedded Programmable Logic Family Data Sheet
Table 91 shows the FLEX 10KE device/package combinations that support SameFrame pin-outs for both FLEX 10KA and FLEX 10KE devices. All FineLine BGA packages support SameFrame pin-outs, providing the flexibility to migrate not only from device to device within the same package, but also from one package to another. The I/O count will vary from device-to-device. Therefore, the MAX+PLUS II software versions 9.1 and higher provide features to help designers use only the common pins.
f
For more information, search for "SameFrame" in MAX+PLUS II Help. Table 91. FLEX 10K & FLEX 10KE SameFrame Pin-Out Support Device 256-Pin FineLine BGA
v v v v v v v (1) v v v v v v v
484-Pin FineLine BGA
v v
672-Pin FineLine BGA
v (1) v (1)
EPF10K30E EPF10K50E EPF10K50S EPF10K100B EPF10K100E EPF10K130E EPF10K200E EPF10K200S EPF10K10A EPF10K30A EPF10K50V EPF10K100A Note:
(1)
This option is supported with a 484-pin FineLine BGA package and SameFrame migration.
116
Altera Corporation
FLEX 10KE Embedded Programmable Logic Family Data Sheet
Revision History
The information contained in the FLEX 10KE Embedded Programmable Logic Family Data Sheet version 2.10 supersedes information published in previous versions.
Version 2.10 Changes
The FLEX 10KE Embedded Programmable Logic Family Data Sheet version 2.10 contains the following changes:
s s s s s s s
Added "Note:" on page 5. Updated Tables 5, 6, 22, 36, 37, 50, 51, 57, 58, 64, 65, and 76-82. Updated tDRR values in Tables 36, 50, 57, 73, and 80. Added EPF10K200E device information to "ClockLock & ClockBoost Features" on page 38. Modified "Notes to tables:" on page 60. Added Notes (3) and (5) to "Notes to tables:" on page 88. Made minor textual modifications throughout document.
Version 2.03 Changes
The FLEX 10KE Embedded Programmable Logic Family Data Sheet version 2.03 contains the following changes:
s s s s
Updated junction temperature conditions in Table 19. Updated Figure 15. Replaced W32 with W31 in the No Connect (N.C.) section of 600-Pin BGA EPF10K130E in Table 86. Added Note (2) to Figure 15.
Version 2.02 Changes
The FLEX 10KE Embedded Programmable Logic Family Data Sheet version 2.02 contains the following changes:
s s s s
9ClockLock and ClockBoost parameters updated in Tables 12 and 13. 2.5-V device operating conditions updated in Tables 20 and 21. nCEO pin note updated in Tables 85 and 86. GNDINT and GNDIO pin-outs updated for EPF10K200E and EPF10K200S devices in 600-pin BGA package in Table 86.
Altera Corporation
117
FLEX 10KE Embedded Programmable Logic Family Data Sheet
Version 2.01 Changes
The FLEX 10KE Embedded Programmable Logic Family Data Sheet version 2.01 contains the following changes:
s s s
Updated Note (2) on page 50. Corrected 356-pin BGA package no connect pins for EPF10K50E and EPF10K50S devices in Table 86. Minor textual modification.
118
Altera Corporation
FLEX 10KE Embedded Programmable Logic Device Family Data Sheet
Notes:
Altera Corporation
119
FLEX 10KE Embedded Programmable Logic Device Family Data Sheet
(R)
101 Innovation Drive San Jose, CA 95134 (408) 544-7000 http://www.altera.com Applications Hotline: (800) 800-EPLD Customer Marketing: (408) 544-7104 Literature Services: lit_req@altera.com
Altera, ClockBoost, ClockLock, FastTrack Interconnect, FLEX 10K, FLEX 10KA, FLEX 10KE, MAX+PLUS II, and MegaCore are trademarks and/or service marks of Altera Corporation in the United States and other countries. Altera acknowledges the trademarks of other organizations for their respective products or services mentioned in this document. Altera products are protected under numerous U.S. and foreign patents and pending applications, maskwork rights, and copyrights. Altera warrants performance of its semiconductor products to current specifications in accordance with Altera's standard warranty, but reserves the right to make changes to any products and services at any time without notice. Altera assumes no responsibility or liability arising out of the application or use of any information, product, or service described herein except as expressly agreed to in writing by Altera Corporation. Altera customers are advised to obtain the latest version of device specifications before relying on any published information and before placing orders for products or services. Copyright 2000 Altera Corporation. All rights reserved.
120
Printed on Recycled Paper.
Altera Corporation


▲Up To Search▲   

 
Price & Availability of EPF10K30EFC484-3DX

All Rights Reserved © IC-ON-LINE 2003 - 2022  

[Add Bookmark] [Contact Us] [Link exchange] [Privacy policy]
Mirror Sites :  [www.datasheet.hk]   [www.maxim4u.com]  [www.ic-on-line.cn] [www.ic-on-line.com] [www.ic-on-line.net] [www.alldatasheet.com.cn] [www.gdcy.com]  [www.gdcy.net]


 . . . . .
  We use cookies to deliver the best possible web experience and assist with our advertising efforts. By continuing to use this site, you consent to the use of cookies. For more information on cookies, please take a look at our Privacy Policy. X